You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

66 lines
1.2 KiB

2 years ago
2 years ago
2 years ago
2 years ago
  1. `timescale 1ns / 1ns
  2. module test_uart_reg_reader;
  3. // Inputs
  4. reg clk_50m;
  5. reg rst_n;
  6. reg [31:0] reg_data;
  7. wire [31:0] reg_add;
  8. wire reg_add_valid;
  9. reg uart_rx_pin;
  10. wire uart_tx_pin;
  11. uart_reg_reader uart_reg_reader_impl (
  12. .clk(clk_50m),
  13. .rst_n(rst_n),
  14. .reg_data(reg_data),
  15. .reg_add(reg_add),
  16. .reg_add_valid(reg_add_valid),
  17. .uart_rx_pin(uart_rx_pin),
  18. .uart_tx_pin(uart_tx_pin)
  19. );
  20. initial begin
  21. // Initialize Inputs
  22. clk_50m = 0;
  23. rst_n = 0;
  24. reg_data = 31'h11223344;
  25. uart_rx_pin = 1;
  26. #10;
  27. rst_n = 1;
  28. #1000;
  29. uart_rx_pin = 1;
  30. #(8.680 * 1000);
  31. uart_rx_pin = 0;
  32. #(8.680 * 1000);
  33. uart_rx_pin = 1;
  34. #(8.680 * 1000);
  35. uart_rx_pin = 0;
  36. #(8.680 * 1000);
  37. uart_rx_pin = 1;
  38. #(8.680 * 1000);
  39. uart_rx_pin = 0;
  40. #(8.680 * 1000);
  41. uart_rx_pin = 1;
  42. #(8.680 * 1000);
  43. uart_rx_pin = 0;
  44. #(8.680 * 1000);
  45. uart_rx_pin = 1;
  46. #(8.680 * 1000);
  47. uart_rx_pin = 0;
  48. #(8.680 * 1000);
  49. uart_rx_pin = 1;
  50. #(8.680 * 1000);
  51. uart_rx_pin = 0;
  52. #(8.680 * 1000);
  53. uart_rx_pin = 1;
  54. #(100 * 8.680 * 1000);
  55. $stop;
  56. end
  57. always #10 clk_50m = ~clk_50m; //20ns 50MHZ
  58. endmodule