|
@ -40,16 +40,16 @@ module Top ( |
|
|
* TTL_OUT * |
|
|
* TTL_OUT * |
|
|
*******************************************************************************/ |
|
|
*******************************************************************************/ |
|
|
|
|
|
|
|
|
input sync_ttl_out1, |
|
|
|
|
|
|
|
|
output sync_ttl_out1, |
|
|
output sync_ttl_out1_state_led, |
|
|
output sync_ttl_out1_state_led, |
|
|
|
|
|
|
|
|
input sync_ttl_out2, |
|
|
|
|
|
|
|
|
output sync_ttl_out2, |
|
|
output sync_ttl_out2_state_led, |
|
|
output sync_ttl_out2_state_led, |
|
|
|
|
|
|
|
|
input sync_ttl_out3, |
|
|
|
|
|
|
|
|
output sync_ttl_out3, |
|
|
output sync_ttl_out3_state_led, |
|
|
output sync_ttl_out3_state_led, |
|
|
|
|
|
|
|
|
input sync_ttl_out4, |
|
|
|
|
|
|
|
|
output sync_ttl_out4, |
|
|
output sync_ttl_out4_state_led, |
|
|
output sync_ttl_out4_state_led, |
|
|
|
|
|
|
|
|
/******************************************************************************* |
|
|
/******************************************************************************* |
|
@ -182,7 +182,7 @@ module Top ( |
|
|
rd_data_router rd_data_router_inst ( |
|
|
rd_data_router rd_data_router_inst ( |
|
|
.addr(reg_reader_bus_addr), |
|
|
.addr(reg_reader_bus_addr), |
|
|
|
|
|
|
|
|
.stm32_rd_data(stm32_if_rd_data), |
|
|
|
|
|
|
|
|
.stm32_rd_data(0), |
|
|
.fpga_test_rd_data(fpga_test_rd_data), |
|
|
.fpga_test_rd_data(fpga_test_rd_data), |
|
|
.control_sensor_rd_data(control_sensor_rd_data), |
|
|
.control_sensor_rd_data(control_sensor_rd_data), |
|
|
.ttlin1_rd_data(ttlin1_rd_data), |
|
|
.ttlin1_rd_data(ttlin1_rd_data), |
|
@ -191,10 +191,10 @@ module Top ( |
|
|
.ttlin4_rd_data(ttlin4_rd_data), |
|
|
.ttlin4_rd_data(ttlin4_rd_data), |
|
|
.timecode_in_rd_data(timecode_in_rd_data), |
|
|
.timecode_in_rd_data(timecode_in_rd_data), |
|
|
.genlock_in_rd_data(genlock_in_rd_data), |
|
|
.genlock_in_rd_data(genlock_in_rd_data), |
|
|
.ttlout1_rd_data(ttlout1_rd_data), |
|
|
|
|
|
.ttlout2_rd_data(ttlout2_rd_data), |
|
|
|
|
|
.ttlout3_rd_data(ttlout3_rd_data), |
|
|
|
|
|
.ttlout4_rd_data(ttlout4_rd_data), |
|
|
|
|
|
|
|
|
.ttlout1_rd_data(ttlout1_rd_data), // ok |
|
|
|
|
|
.ttlout2_rd_data(ttlout2_rd_data), // ok |
|
|
|
|
|
.ttlout3_rd_data(ttlout3_rd_data), // ok |
|
|
|
|
|
.ttlout4_rd_data(ttlout4_rd_data), // ok |
|
|
.timecode_out_rd_data(timecode_out_rd_data), |
|
|
.timecode_out_rd_data(timecode_out_rd_data), |
|
|
.genlock_out_rd_data(genlock_out_rd_data), |
|
|
.genlock_out_rd_data(genlock_out_rd_data), |
|
|
.stm32_if_rd_data(stm32_if_rd_data), |
|
|
.stm32_if_rd_data(stm32_if_rd_data), |
|
@ -223,17 +223,10 @@ module Top ( |
|
|
* 输出组件 * |
|
|
* 输出组件 * |
|
|
*******************************************************************************/ |
|
|
*******************************************************************************/ |
|
|
|
|
|
|
|
|
wire [7:0] ttl_output_trigger_signal_src; |
|
|
|
|
|
genvar i; |
|
|
|
|
|
|
|
|
wire [7:0] ttl_output_signal_in; |
|
|
|
|
|
|
|
|
wire sync_ttl_out[0:3] = {sync_ttl_out1,sync_ttl_out2,sync_ttl_out3,sync_ttl_out4}; |
|
|
|
|
|
wire sync_ttl_out_state_led[0:3] = {sync_ttl_out1_state_led,sync_ttl_out2_state_led,sync_ttl_out3_state_led,sync_ttl_out4_state_led}; |
|
|
|
|
|
wire [31:0]ttloutx_rd_data [0:3] = {ttlout1_rd_data,ttlout2_rd_data,ttlout3_rd_data,ttlout4_rd_data}; |
|
|
|
|
|
|
|
|
|
|
|
generate |
|
|
|
|
|
for (i = 0; i < 4; i = i + 1) begin |
|
|
|
|
|
ttl_output #( |
|
|
ttl_output #( |
|
|
.REG_START_ADD(`REG_ADD_OFF_TTLIN1+i*16), |
|
|
|
|
|
|
|
|
.REG_START_ADD(`REG_ADD_OFF_TTLIN1), |
|
|
.TEST(HARDWARE_TEST_MODE) |
|
|
.TEST(HARDWARE_TEST_MODE) |
|
|
) ttl_output_1 ( |
|
|
) ttl_output_1 ( |
|
|
.clk (sys_clk), |
|
|
.clk (sys_clk), |
|
@ -242,14 +235,70 @@ module Top ( |
|
|
.addr(reg_reader_bus_addr), |
|
|
.addr(reg_reader_bus_addr), |
|
|
.wr_data(reg_reader_bus_wr_data), |
|
|
.wr_data(reg_reader_bus_wr_data), |
|
|
.wr_en(reg_reader_bus_wr_en), |
|
|
.wr_en(reg_reader_bus_wr_en), |
|
|
.rd_data(ttloutx_rd_data[i]), |
|
|
|
|
|
|
|
|
.rd_data(ttlout1_rd_data), |
|
|
|
|
|
|
|
|
.signal_in(ttl_output_trigger_signal_src), |
|
|
|
|
|
|
|
|
.signal_in(ttl_output_signal_in), |
|
|
|
|
|
|
|
|
.ttloutput(sync_ttl_out[i]), |
|
|
|
|
|
.ttloutput_state_led(sync_ttl_out_state_led[i]) |
|
|
|
|
|
|
|
|
.ttloutput(sync_ttl_out1), |
|
|
|
|
|
.ttloutput_state_led(sync_ttl_out1_state_led) |
|
|
); |
|
|
); |
|
|
end |
|
|
|
|
|
endgenerate |
|
|
|
|
|
|
|
|
|
|
|
|
|
|
ttl_output #( |
|
|
|
|
|
.REG_START_ADD(`REG_ADD_OFF_TTLIN2), |
|
|
|
|
|
.TEST(HARDWARE_TEST_MODE) |
|
|
|
|
|
) ttl_output_2 ( |
|
|
|
|
|
.clk (sys_clk), |
|
|
|
|
|
.rst_n(rst_n), |
|
|
|
|
|
|
|
|
|
|
|
.addr(reg_reader_bus_addr), |
|
|
|
|
|
.wr_data(reg_reader_bus_wr_data), |
|
|
|
|
|
.wr_en(reg_reader_bus_wr_en), |
|
|
|
|
|
.rd_data(ttlout2_rd_data), |
|
|
|
|
|
|
|
|
|
|
|
.signal_in(ttl_output_signal_in), |
|
|
|
|
|
|
|
|
|
|
|
.ttloutput(sync_ttl_out2), |
|
|
|
|
|
.ttloutput_state_led(sync_ttl_out2_state_led) |
|
|
|
|
|
); |
|
|
|
|
|
|
|
|
|
|
|
ttl_output #( |
|
|
|
|
|
.REG_START_ADD(`REG_ADD_OFF_TTLIN3), |
|
|
|
|
|
.TEST(HARDWARE_TEST_MODE) |
|
|
|
|
|
) ttl_output_3 ( |
|
|
|
|
|
.clk (sys_clk), |
|
|
|
|
|
.rst_n(rst_n), |
|
|
|
|
|
|
|
|
|
|
|
.addr(reg_reader_bus_addr), |
|
|
|
|
|
.wr_data(reg_reader_bus_wr_data), |
|
|
|
|
|
.wr_en(reg_reader_bus_wr_en), |
|
|
|
|
|
.rd_data(ttlout3_rd_data), |
|
|
|
|
|
|
|
|
|
|
|
.signal_in(ttl_output_signal_in), |
|
|
|
|
|
|
|
|
|
|
|
.ttloutput(sync_ttl_out3), |
|
|
|
|
|
.ttloutput_state_led(sync_ttl_out3_state_led) |
|
|
|
|
|
); |
|
|
|
|
|
|
|
|
|
|
|
ttl_output #( |
|
|
|
|
|
.REG_START_ADD(`REG_ADD_OFF_TTLIN4), |
|
|
|
|
|
.TEST(HARDWARE_TEST_MODE) |
|
|
|
|
|
) ttl_output_4 ( |
|
|
|
|
|
.clk (sys_clk), |
|
|
|
|
|
.rst_n(rst_n), |
|
|
|
|
|
|
|
|
|
|
|
.addr(reg_reader_bus_addr), |
|
|
|
|
|
.wr_data(reg_reader_bus_wr_data), |
|
|
|
|
|
.wr_en(reg_reader_bus_wr_en), |
|
|
|
|
|
.rd_data(ttlout4_rd_data), |
|
|
|
|
|
|
|
|
|
|
|
.signal_in(ttl_output_signal_in), |
|
|
|
|
|
|
|
|
|
|
|
.ttloutput(sync_ttl_out4), |
|
|
|
|
|
.ttloutput_state_led(sync_ttl_out4_state_led) |
|
|
|
|
|
); |
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
endmodule |
|
|
endmodule |