From 7f0a18a8b3ee012dee2e9cdf36293620f5e1d12a Mon Sep 17 00:00:00 2001 From: zhaohe Date: Sat, 6 Jan 2024 19:43:52 +0800 Subject: [PATCH] update --- ACPGL22G核心板原理图.pdf | Bin 0 -> 173374 bytes README.md | 17 +++ led_test.fdc | 164 +----------------------- led_test.pds | 104 ++++++++++----- source/src/des_ttl_generator.v | 196 ++++++++++++----------------- source/src/top.v | 54 ++++---- source/src/zutils/zutils_debug_led.v | 25 ++++ source/src/zutils/zutils_edge_detecter.v | 48 +++---- source/src/zutils/zutils_multiplexer_4t1.v | 29 +++++ source/src/zutils/zutils_pluse_generator.v | 10 +- source/src/zutils/zutils_register.v | 44 ++++++- source/test/test_top.v | 43 ++----- 12 files changed, 341 insertions(+), 393 deletions(-) create mode 100644 ACPGL22G核心板原理图.pdf create mode 100644 README.md create mode 100644 source/src/zutils/zutils_debug_led.v create mode 100644 source/src/zutils/zutils_multiplexer_4t1.v diff --git a/ACPGL22G核心板原理图.pdf b/ACPGL22G核心板原理图.pdf new file mode 100644 index 0000000000000000000000000000000000000000..e80a7db5ddb51d5d0c60d733efd54896bcae7caf GIT binary patch literal 173374 zcma&NbzGEP*ET$WbV+w8r6LU73?-maA_CGa&Co-qfFdC!U4nFX4<$&WC=EjoJ>(2E z%*S;bvDaGXTI*Q*9IjW-RQLq>MM=5V)+eS&iA06j1=zus4x}hqBaH{_Dv@94d}JiqB+tD$yS>F~o8>_vis*&P0@?M0djc z=ZgOntB0qByQh!4%{x*e0a79^E@dqhQlfu}nv_Uf@;^fUJL$0t35wk*RY*YKU*{AQ z7X<3C3yO;TFYM;#=I_kSe_+aTVW~2;mD6iDh^Oa@<)!~Es>Z$#gZi< zabkIDLeh)@W3ZB`y*21^DD~`iGWhK&^#~M_EV8h_M3Zerli?l5;YCK1si5NUJOn83 zMPof?XKSC6XYcFoeR8i*GBk~Nzep1g3lER>!56xiPNyV^VBxePnZVNwH}1EZUqU06 z?mZXA7JS~0jq_<%lOQ*Nki8qQ_y-vMHxl}XlKyGS8aAF5))t-??7{;7(A+BvTN`x? zOB<&YHwC{*cgyc5{=Kb9;i`X8Apfn7XpTs-e)i2q}Tk~`Q{ z5$t31*Ycv0qWnTa>=GhECP0llJGS_{jys#boByv3U)y+qz1*$t5QzP?$?H2a^SrZl zcFBJl*I(%V3y9c%fc%YeRqWk8Jn!C2k$uIXs+OgLj;Hf~tGO`M8N4QO zKLisk=i+6FPMp;&q@j%XHd1<^M+z|^Jbr)++(^EkT-b&TLXXY*K4|y~j#l449`)Im zNhf?ssgnHAIO>^wuad?a`SsZJGN`*}OWN9Oa!g1FT9RL2M8@$gFCo0g{>&3zBhw{K0J=^C&0EB6cD7Z)6Z z(EQl)2we>!X#)+cwGs8XE&(`PP1;N9p^r~=t;=vb)75ttW%NA1d}k6i{beSHzzFhs zf<`0~#xI|Sd&$^JGxKjd$$;Y3>baxyT?yyqz&{WYe59UL1jRo~SXK1j2ik;<=OT+1 z$j4AFK@#^Cv|EMRqu;Cla_hiYB#t$OjotIKTpX2m)hxE}c>IxPe`{jmbnv_U;}>{2 zAsp{wD8|za=jZIRxOS#ewG;Yx(o>8F)APLpM4Q7(o~Sp@|Jv*LvGzOqaIqI;<*E1G zzK-!gtn@*QO3KhJx5A28Xc_(6g#Gf4Jm{_uSE{$Lk3h++ za%bpi@!?G6rKb5jdTF9@WHn%TQbANxmPx$Bnhj;gBUq{qgbVQk)Ej z#{_%$7cL(%lJObNML;=+>!-hdu@$H=!{v~84%Gh0r|FL9pK6s;d+}E%GxMtNt^`?C zkBryf$V{B?-JT?+=-umn6x84HawL3)_kd^a6!aP_ho2psRn`lkHi2{|zh2gPcl|}f zMpoA%oAzb=9PjOkfw)F;riS?kU&pA zt35u`-mCB@1_edr&xu_XEq5)pOQPnylC6I#nMfADa4?7PYkLlX&)`n(m#USQhgzK@ z^z@ftX?n96`W(%W{YU6?xSYM{Oke`|E~(r{i-|+3wZ8Z?#`L;6AD32L8>@hBiB)r8FK1LLvOZ$Y zJ^ug}Yl9LJeLxcAiNL+-X4niqpnN5C^l|6b*^~Gd*bQiqDq#IPr~8*X{HN3X8`t#D zm?|hNbQjkD6C(HDF1ReH+z*87?{nzBFYg30P~%#UxMoY)GZNcjagax=e;4AEZrUh2 zn1Q^5yr_P@*NeBEWd2rYNYeJ*#OPC7begLW2O&qYM-f;4YdU2GC;n2JjZ*a>9@Nnp z^XM{#<{^Quqyg3V4}W)bx`2Dq*+jL(Wt%$ds$@9Z4T)1yM0-5|&pJ7BG^u<;{KB{5 zW-ZV~@@MNeU(FIM3=%4>B8BVOXuI(T`_{>4;9Bwbh*+fHW%dYJmEi+{L}#NwS2I3S zMQYey*WSY>U5y}bY8 zSD0%Clku5|Bm)=v=kt*EpVa1gRz2>C5#SDs7*$1Tjx!8RkSf*9Tb|Ox*u%v71djp* zx7t4=yo*xeZ`@1Jc)?>=1gXY!Z`>v`h$?h-Hp}v z2RUhI0?9wn78(k9tyXx=4}Vg8QT1pBsAvJ{tfDb|vZP!t`{6mSfvdwOGDBba2JX3E zWyH3XfAma$zf8~CatCWa)_KL>PSA)?LHI4j&40Q_@op6RPs+Pde82TfsfP(>jh*=3 z+!Wc_MSN*JVE^MHUzlu@MYOE&nEZoYztPLPZByolh%JGL*mc|i&fGhuGB3Nmqtng>Ut4u}0+WP&xgLL~RUX+h%B*c@YKGbvldBKihg}!2pFmV#l!Oiv(oA`n-u)I%XqP-gXBOo1r+Tb(RU?d^tZPE<-H;pwATNw?p_l2(-j#Ek(FmH=!=8zr5HOQzTeab(iBGH$iFYlAIN58-G9 zQS%!z;k2{Y=USR&8yM}}kxAil?|Ccr`7{$q~ z82HvSuHb)m7Z!qoJ58sK1H3VG*)mVDHDr-b-agCMX51-h@cG%T^Q~ac!SrFA#lSa8 zSN}BQV*UEyVN-6Avu4dG@+3I!vzH(lU{|u1s~MrqFRjho&WQpDR$1)P#D6TR2q`wn@47kGwMKCw7XRcF$|8+HDdRx#yoJ=V7}x&kTG>o4~qB z=#e)}J0oBM{al>V)#3<=;K7Xt;Ei12zLt%D090r+>3`5;(cRg%8d0QF`!3=;uz}qA z*4~M2yHnQqIn{#xjT)?NEC4RROUb)hsQvBQg3*Dq+M!?Ka*2nw=0rF&RNS0G#hqv> zy1!og>i9;eo0vQ*Mh%CdC^L?h7L|j3cb0ygQa18LN%Y^IMI556S|FN8IY;GC!A# zl}-3()h)Gk=MR4%)%_J8ekx4AuE`%Pi;f@x;=yzA5Gc_+EdmnaKZgLW(ZX)|DxGnE zyef0$(Mq0hBt^}XueNc+&UUNuattO&2@jU@&g0=66Lz3pp4sF>@m|H1qL3kSb_~R0 z9g6)m7>D`#W)?mOl0#nBPQ(WW?VpYZlEosc0XTr=BiZJ^bGQ=!FAi5k?0=>8Z>C17 zG6nAAtpw)uj_8@Jw8y@G$c0t-)K5EUN_JK$`uF{3KOTb3CM4i*Ox|w`Hw8P&P)At> zW(}m!$bB)A=oyZ5izZ$K$8!PpR)sXxpVJQ3bUmAJJ$R>A_`Mh&7_sNZ=u%N8_I={) zq>En*xf8$-J({Sv=z8{cU?)+fA>cYiMKj*_7Dqo#Z(z=QSYXNNER@hv8(K;3kjd==8{v|QZGC+C#Zu-4MD zDpNlCVlMEQW>qEnGmso#U1C<5$B84jM#(W&WELGbNAe4U;MH9Ji2^jzuCXIaPD(Im50> zWg3Zk$%l?FXTDg4fgKZY4M;y8mTY*cwiSC6JzULc#V`A{o19~~>IGlx#Bo=BgZ-3N z3au^LaZ){ZDT}<1M5$^;4%QWVe~S;vpj>dvT3MG)2Mc?%-iig|(gR3LS04PGRs0wI zQ?&Q=cxB_R1a@`>yZpsDB>p1WV0Rr?3o9FTvA?*)>whuqXG(AW$*-T=*xTB9vI|N6 zn?#6-i34@KEIt1syDyyY2+2Qr?;V}6vGN4!+gsnUWy$}tGt4aJ5J0AIR|T*EScd=r z04&S`;5~qZfPjFIfP|2cgo2oen1Y6!goK=io{EZwii)0&lKQSu($O(7vobT$adPtT zaB_+Y3k!=%|GQz45)+e>k=`dGyH8C^0Bi~@911KpI z_B~uYd;&ruVyypvCl&x3=U<)o0a*92aIo)TDqt#<84^i5$b>ZC4lHOP=_ZE1H(f!h0vpK1M z-#6v8eyYNgTo}=i(*Jwo>|5jaKbz-z@4O?w6g3S@Zz081^=%V(cGY=ra`Ny6V06D<9?$9O%5Cbir?KD6?9_vKT zxDI+%IBNJ0k%A6Zx_L}!bEglRr0C>_cX2MKn{99gX08oTEc(&!JhQS`;y`H%Kv(EkPv9kdSHC+=$6|Q(upxPBFu!5IWkq1~DuSGf4Ca^f=XK zNogOD71(UbJ&IeoKd+EgE&;4NKa)y3Ux`Q>sv?iu3d@~-^cC}+Q-p&E>EL85A z0-;&4Di4RZ<;N8%XszvJo50h|Br-|SE7yD!4{J!ME<0lN;!6;!zh`$A+NY)D$ar9KY>tM?+2EX3VXT2yPTY{&6a9wpmp1`N|oeD$2y#%iAw zDz;x-0Oi3qOX&RKVkF|#X*;C!^swN(;MNHp3B4TJ2~7IKnp@c>``lAy%qMw!Ew#hl zCXL6;1+a~u`ud|ye4XH0Xr=5>LMbQ^XTr;+6a%RGmd@0PTAhj`|L8rReWTBHPPJF9 zepUN1MNd<7W+}?S$s^tZuR zaOh$2Em4K&lEpcctvpb3H_(wWQ*f`Sz1+OnW$kE;U|tKtZ+oM@dYe?bm2hUHODe}Q z0ofp~D!8@50LC0U$yIN`FV33|&)`1kH;8Wp7=SKxt9gze1IRQ@o$J(^@{=z3JueBX zz8{?ny6VE$#{d}98F*kO`ql>P2NjG4mx`NR^`0YAaz^7jmdal9COZDK(o5PHfaqjr z@Gku3JGvOMPp*WF9Q3=*L0>~iPobBu^3S6%045Azehl4-44yYKX#Ty_?v$O>tQqj? zwduEMx1f?hodK^rsa?CO7zYVpg8+Gp`{p28<@;WsEnTG-b2HQ9A=k!u2ym#%*7ih+ z4A$P`&1MMQ(wcvS0c0(wme-(jr_VW@uA2^~a`c*&(J9wJHl%p*wKRD5Hg$4=CqDOa z>oavuZuyV*DD^Fcs6K{p%ZC9xKqYX$WP|sohb4%rV6Vma1QVj+o;z7!0M2rjXSaV2 zvonh?P1ST2;+dnKxDC63K4J+3%5d5_=W;*KvC|azeBPf%vAc%<3Io8*{Y_{T`>RCv zPll@SS6SKRuFwX2X5(#dW%F89@n4bfziZ9^WUY5~ZSlL3xA1>6*Q_s)H*aQWqb52b ze)(epzZm?I_yt1F_}N+RY16;MqaOzJk!U!5D3O0gL4l?GIWGjOApd#A2g?VKO3cZh z-+yH(5M5GYtup;Gz}FYCxrrL=gw5L`JWzA~xsCh)PAxWmAnq4z_H>>Vd_RdP{Rq{` zS~LL9Cj_eqAYial+NE&VBhLvGr}Jz3L3s0NnFQ&8*&mv zeem&*{LEq5uiB5xV60j60sQH$@Qbujnqwn3C!wfxGC(wE6R|{v4@KsOw0?3jPl{uA zfNNn3P-2Ui*0t{5no?_F;k(mTw`1%!ezkZP2j{Uoe|MoI3GC2(xuldI8}&Qy~`P5TbREjwB$kef~9Uq z1CM1nj=iC&QHR4HZHSWYdAcpVef;9FB1l#?WoT`Z6eH7*kvOV8earacc<5-U1I_OzB6Az`mAYmSe)B^IU-FFv0VsJnRiPDCFyXEK1*3i|4TG zt#ESOwM@DqsagqCE-w1I%Jt(#!g%a8Gn|rM-Inl@;gjQRJh~z0|agkv!U*YU3aM)q-$m2_p@`sG9 zNVO0ihTxmuiwTFD<8@%2-oIz@K?jukSE3FZ#AZ6+!nI%LIu&)Iq+D(tN-Vj7byi{_Qrzv=%t*U)c!up%<_ag_qb3zRj-h9$Y zF+1Sd6HjI-Dw7a?Rq?!q$<#^6mV_eQ1E1-Af2G#;$NAq;(^ zmbGtvE3l@?_M&Voac8mjgZ2gYCHL9AnXhS8`%BkGT@PCbn8{P4cn3vS$Jg-Os9JnG zs7;B%;i$g)v!)T%PAto&?_S%@wgNg!98D6?3WipZtQ4juk0^rCd9lt$ISLb;c)!8>B<_k2{i^l0Q-FIE24R`Te-m zqSU}Xx}mfo*R#&E)-&l$cjpB|MNCsnCW#TZ*;dV{a=IXq;?KO6S8tQ~lKqCch9zi} z{^a{GuY={1e46S;t#W-wO(Rulb^lE7C}d$=R$QuPoM!#Fl1W`vxJ!mh_Mx+E z4671Q8xgXs5%?CSC}#?NiHXE=GPmy*l`t2mq3-C>zU_$GBDeR)F6*Z2THLhUFSyUS$<=Mt_0zV}z0+?UiogRxvhYU9lUG1tA^Y22<~;-HN73=tjUt>c;{T; zKwqy__Mop;Lvb_QWx@hz1N@?2Ff&S2PP3mqhR4Z(+XpTfCMFhla#6M6{)BL7B5OtmnrZGzSt>4u?=eygv2kRdT%vEg&#a~eZLK;i%dFnL<*x{=fIF#rGx$ea4L;sf z@1OtvWk7Ra?)$;V%xK65V0`XYn=5ZCkV=NifpUuyO&QP0&l$nh$i1Fekfe2N?enoC zhdL#>B02O;`0uda2_l&do%X^3;2P9?(hF7}r=Ot(1;*a8Dm`P>kr|kaB&KCZD^NU2B zII}oTnYH~gc27K;FOsjZvSIq``bx{uMLoCx$|AKI`1P7|-FgIK2-9uWo+nz!NA4rj z<1XS7#*fBBb$B(sAamZ0f#5TA!jwaC`S_2V$C7FinZe$x#^bGXr={>>V803dUfgbw ztJ|ON3$j?U=+q9NN$Y;lrDe4aqLtTMU(>9y)o+(^-Eh!rWbYu5Hqcq_?akygcn%iS zx2poz*vmA*8NnYXlOi!~+4S2xajssNK~!`I3s4JrLL}UMHu$d(H#!Ubfe72h+~As> z2UixW9Hpw8@hPk`=n|CG-iOT}>Dn_tyLc3|SYv7jaxlraQ&Gn^#T&(&RAp4LN$--1 zix-PsKt^b%8{gg2%bl>?Z^2bT`PXahX3W7mnFgZ5w}}|{)tNQ@lj^t*Q)HX}bTINb zb3^>pBLch$V+|binYjk-qx%E4@b{yl^?nN2o5>;vqUs&2t{WeD{dc_$g7`E@t@o8 z3lw69xE)#7Y6}pl^gIG!h1{W+A;(+!JM@2jAMt-hFC;1<{;%TE-A55ZVgjQ7`_9K- zZIo}$YuaEb5Tm2pZVJDYJFLH64*IsRULYJ<7ys$I|ak^t&Sr|4{W<`Mqqw5LB~Uw zM#H)6Kf51;uZh8dn|rxe2e2zd`JW^hYmT_t<$N`|7kaI#72swAy@cwQOQX83PR*`b z<*ufK1H8^R#4+YRw^#a6Oj;FPuA&_nxhPnC*VQ=~y*q;$bHvy*`jwf@fjdqb!2y?Q z-(hGJw7CwpRK1UaBF};7T_c!G5P~ie5p3&&=>Z1q?8#wrp%?pdMzWW&%~2rJfW1sP z%ycCfv&}}b9vI+rTOB0{b;h`QIqH&}vMs+ozeOGBc3$-hSV{BdHXOrT5kqvhJq|Yz zv0DTX{W}u|y}H=#k;XWmA3(Y~{Tdh-ba?$yvEa(vO^K`Figi&y_!NhJhC6=?bO@=t7XA&&ggN3AE{Ipu3tpG9eBz zZsD}#a9(Zdb2%kmV2;{WlPh%e&ZebKEWm?K=)WK>!2xwOl&TJO_bF z3uKkd^IIkw$Jx_l2eJ8F!C!7nR}m?Q;njumb|uHi>C4V>1nPEdc3HXsb=`S`zR(bD z;E6;g!=R!K=ab_%2p>%Ewd^S*=O_|I#KseRnhZ_4W`qSg+ex!s=TxItVA+=)(z#Z9 z&NsKnNhE0C+f>n!XjLFf1nN(p51uE}U_hW9+qvYMK9DiVfoc?TUCH0i4oGrf8-*mA ztc+?p7mL#;N65PSZGPEa!rn^H1+Sv`jsqpnuB|}DsZq$E`GEm;9I$}NYBPp;)kvg% zBr?NH?qm;f3R^b>i8RchQL_`Dl&-7tW#OoGQ8_c{AGaL9xAvWhN+PA z@0&TsxgGNW!4bzGxpfi0B^U#$j(+~`DAG#)5)Edo^TJB)jPuhdkHV4g{3Vw80hIT? z)kYM0GKbCZL~MCMvNKMJ$@fyAA@>+6C)i{jiZngF0KubH!ZvBm+MnUh1+ z9{QR=OppW6)Z6{8U`$#3an{s3^14Pyo9qz?i0*8^MSp8v2*M06sNQ5p1w{t_fCn79 zqfM#?myf(|KAV|cfjSO7f~OaFK?6L-(2iZ9<09yFWc(>87{SGMEm@4d4(ys}=fBFU z_GPXo_W#TFsxpklh4Me3lyon-masENbb0 zx6qG;;3==8=EZ5Bv7NKJg~Eagv8uuGZ1O=_gX_zm7oE$n;1wuwGxWC2tlBO|O-%3h zmJ2%W?GBO_TB^EP_Cafy?b+GhtW5?D`R=D0YX!_*p4ysdE@R8B5(jw)V+BFCJ1!)P z=g2QmY*!F!k3~}21NuWMvHULY9Y7c zzHG5DHG$^h9=WkI$zG`^Z-u*bT5m6df}u#1G`Kl%RIQoVyGmLp+n=rd3=GCOaKzy4 z%wIAZ6)T%6kvdiey0Gl`ke!XiU!*b3p3cww>RqIqY>4pEUzQUqd_j8J6F7wWT;#v< z;CD6Gna)poLc;^cVVP98EACDDj`kG-`$Dn@P1H4U)fL*B+>iRU@+tau?WR6PhCCn) z#`9Aj*D6&2Mu`;G#$1?$b*>NWX*G-wPe8Ge#gwp=TwGS@}u;NUga zk`kx~hI>p=-T6M!e;Hd=Z954b>!^KZ*;N**<%UsPix+yUqwEO8)v^fI=*F;AmKJ*H z72;D?6jRFReGF7;{{S~3G*ByqIM#YM4vun2h@r{{URts~o#9#n(s?R03P8T2Ui^5j zJTbBoq`Y2)8(dq^=mBe7S(mE8e<`JPA>C*?ZSwq-`*V0hp0Li5i&vQ5?8`RIu)`N; z-_F1f8CCX5?}1OU9DbSL?o?2Zwo`k&2s6_bFS`_Q<^ZjT{t0WUu+lnq5Q(y$lJFw3 z=2^?;_Gu>al-GcpN-2atYpA5%()%b}Y&bi^b*o7%RdL_{vz?qz^^^R&G&z`~m5&Z7 zM7aE6TJIhlf-81Gwm-;`6-Z|-Z5xb_&S8#P3=PaUK=REMI$=&EV?|idp>#LmS0+Y2 z!T1QokJOhnT{k9St=3G^Qz(Y^xV?A~rrtGs#+40;48;u0{u;N!jzz&jf}5~10q7C+ z@|>GgqOQ5#pz{sj!)oWyu8U@@E98+g=?v*uDOtc5#%;r%c9z*7zSxCOG6l1i0@?Ye zN75(isvvjr38Xg*TbrxgVH`q#2jy-odre<}xoi%dXi1~80NMNL# z?Z!1LN+%M0)Ah~wT)q$Q)_YA|7KNVg&Jhg-e}>9VqKtN%sbos`adjQM8{GB;VL zuD<<2W%MhRrLsC-*p}y}F%l`3Fn&$2*nS2%yfQVpf)z|{6QEq$vux(!En=9ZO9*~y z_@V$^OO&hx>Nx&rd>Ynz-#sz_1_1s!a=~V7dL~^s6?t*tI5|<0DhGgdt-udFZm)YM zW}!B)kL~d=*?TO);~3T$e>%^Hxw?F#t7JzM0}{tKjz8j7PD?0kbu=b)vnU34cAkE3 zJzVistDEn;k=`zkvej>BBD!*R&ZsU8(WAFg2+nfemEErYG99xweg&tTHc130T>G%? zlq}CAcuX=FeYPn?qM@9*QtO0%HTZST-m5UsJtMJ^Zi?}K;+3WB(@ok7;9inPGlpz= z0Ah+nRCntwhnu9?d!0$L++=gim8Y_g%&Gi1g@$#?p&&vB236rLLlFtlQIAb0FNg|kkQ*?WYbmwq;@9#Qbl zj(oXrA$B~J&vAH5oSRQSAwiHGTGt$Y$sC$0nA?mqH#VVG`vqrF=~5}Xoh!Q)_tFmM zcCZEiDv4?B8s{w3kI1GW0)$#A&rZn73SV}#U^Dz|_C@%254?LucC4Q6$`R+Z^&$E- z4!KRva7X+VE~=J?CHf}xri03_3BU8Ff*I)n@m8*M=0Lw;U7uJtLUscz6 zdKN=*P|7C5!@rv0bw+k$btd=|_5*Z_x1SJ8Uk_=QuzD<9CA9uXcpRAn3!dMUv*H(i zCuk;TH8Xn?V>?1Wv^e36fQOpd%J5lABCcm!->vX>WV&TYsN{fe$Ifw@(HTa_P4HA_ z%(pHaPbX(af2SU9YcoQRAo}25Tl)3yH|82a<-_?;W!Goblsz0@a$g80cM z1H`VH_c7jL@e!8BI-4sJtc(_f7nwS(H=NT$$GtkO-L%^waOMH;Cb3v)j~v={r6;Ij z%YKI-ukKG2dIk4Paz7x{KAm<~kiWSxl>M|J`19&A^IJe%bbT}N+1gtVtd6Kwv4J7> zcdDBuH1Um!kh8)G#83XRgJ*@2f0>NekrjM`<%CIFJcUa;g_*W^U5<_4A zuwyv#R&Mj_Fa>UJlOfx2pdXLlZufDvEMv!0i^Y<|5r`18o=ZSXXhA2Ng_mCqjka7Z z%%2*TA0L%wvUojE^wwfyEaR}b$c>`~SgGNm^jLyidbgRn3IF7ohI_sMIAxM0ty)`3 zHCvbT;`@u3@{Mxt>w-obnGd~5DLo8XVaE~^)5MqhZ68m~l=IBqkkv{((5IL#2jr|| znJ7r5`Id7r72R)ZrEYk4T|;JjpwK*U_R6GNZ=EOTy8KwW+i(XT$-u_V;DqM&G|i+SU|R|(FauNTq=R-UjG z+&?{_yLrB~WV*<7{^M=^ahS$B)khyzF9VASD(o&%sN8BuaN$Nw`52g z-hI_7)Leq|pP%Wed&LeXGy=`hwdVcB=El@k`;smu3Q$Sbm|4|PVdoGPrlTbH3d&QX zbTP@Q@ryX-`0vJ(14WX-qs``3yi*%?9$Am)XVYLx#?Q!42Nt_oK8I$FjO|TGe)w_| z+X1Q)wtoSnv({P#6)ZluJpf#PlEBPw7ErX^JGi%@v1QTE0THW~{dn|45>>v2U7|Xx zr<`q=bW;~RNhzy)6K<7mJQ6PTm2_OOp)sd^j~+ACMZV2z6Ew7^y4-WKf`dWVX`I$F z!K-hAs;Zsxpo@39mr8-Kapso^1& z7QED#UYpF}*caHu(-veU* z@-1R7Tf=e2=ogN3=DNkWb9DSt8?((^3u(ajD{-qCQ@mWly{dXNI!xo(YvST`K?EE# zBO*DBbDUtMZTAum&G((EU@tA)diy)6b!0=rF?})2^I+vPB|jC=f$ z@t$BB`0D?*FYa*F>jmf~`Blq3SRtO2Uhknw=Ka0&pWKe`gJ;slHN?cmxXLn=V&Gy$ zRt>RZ$90W6^wniaeWFd)V2i`1Z(T+ws{+skydZnEz4<$bSh>}|e&^ou#lw1VRu zVep}?%UJ9IdHH6; z(DCz)GP3N!iWf*7*J^6NZ#BM^)O#cm7`q>~PIj~o4G}ueRMY@8C z$0w~%{V0F;*p+*lR~LYc7CQ9AFo({psg*AfDI)k0jioPU%Drd==wg1FlhL_Z(#(a> zjL|JDUzhgq^C@U$&xzzjU+X7fhFd%0f(mk*-g68e(~dG&$#6?e3Fd}3=txGzIgKwD z369@uVm{fKFS=YHJ-XqF8ItT#A0b%RmX|YVhWpzIQ*$n~AS=gC`It|E7EpJX+vLcyD@wve*{dcundwaJF%{k#i}7=4bRdQF3qOW zxQ=?`?0)ss-*Yt2WV#eyP;<?0<%u*iRGTO!2c29{-IG$UVTQf^pd3wm=%y;nx7Q3<#>Fb7!3eZNO zDvCU0P#&DY1rHba38e{+&4Hgamu5wRBP6Tlw=VP}uD{od@XZD}(RlR+sCOEy>R52{Qy3B-=*v>C5D5KUcrjZ5=7eeQ*o8mIOeiWFM80j2B6nWiL}QlKAQ z8J`UHzoj3b6V+lSbsl-dH*=Yn zEEVEcOy5<$oEbL+MUckY6%6S63Gy8+|GLdCb6(NI7ttcN;yYgZwX7^LDU_#5bX9>p zS4xZQsHJD3{qy4y$*)AsmZ2_vo^ATo<;0daSF4y)pc5->MLl;V$d zVRy57=<-WiE51D{Ki%)l!%W+gPgW$q!;CQn0%5v&GcVo7^+T&lKC1dZAdeP%{JB7J z7900cC53ODc#d0+tJ%gpoXGQI%iB-Gu7mu|L&Eu$bx`%oIE+4 zL|w9YbxvBzJ&6a5PJ^Cc5-uC+ZT4NE><|iXx#;W1u&=dyS(g&l%*Eq)0tVG#LaAdN066d{USv z#`czRpl3%e<3m<40#-TW7UTZ8`dz7~ehgaaJCKIQtWV%%9%I@AT;^!VU2S0LF79+# zh50^o*<)z0dOUHem_=%d5D1QL$z_JBWYMfW@yoQts>@M~xwpm41{k9V|J~z%+1?TS zp>x*)dXlCuFWoO_p1n*v9qOTEJg+d?UU12oW(8a>U1hv3{h(>kbjskGIo+BsC^nw- zP2-f}17h~4@aX!vrKxWP%Q5qeD|-&dsSbF`Skekdb${Z6asbu_wc8Vh;KW+(_49IM zwsGKq$3t#_0*Ca)$VDWqezkCN5S!Eu`g?~ z5u48&no63UmZ6Qyru-Jcl44D*p>if4MMaAo(-S|F(nM`a8ANcHw?j#gCUcR@aOd}x z#)Pdp=k;7ec_+;&eB(Zp5#b*lUb}Ugm}l~u+G)%fi#$VQ-2D1>qs8T^!f^3>XpNqG z0!q`MUrQK~V6imb6~J|7LV2sJyk`}-NPsrZjN?(30QTb)Q~3!=P0_0k$n-XLckW2Z zRpDFvme3&!@59@o*^b*s_g+pMwd!RKl-E_+y(jDLTqeq9`Xek}v{(Ox5o$VFUpZ#A zNqTHT6tMk4vr%HfajLeaacmtw!hR8NuSN5Rr`3+`%O@?g5gUCsw$#((QgzEd! z2zRAffbcFaoKlksQysJVFB z=pK5#lK|4()nm%ePch?*r1y~coaMYN(^+RfM!AMR0FDcd6(3;WKk%%;b}Qab1v@iN z=}DSIxH;jVp=3x;z5vB44fz-!j`0x}1^faQZ-V`TN2ag%E*=bj(F@WMVj211F1Oih zkQnCCL~ZK{ZX1yPSTBKKT6@B@8q8~~^DGH&4ny8b&(J)|eQ1!yu?~yigTs8Qy?M5E zKZEsg1GMLvwIogBYcFb-j~>LNym#)dEg-;og9j=YE~pvJXA5*``+{xNZ_Jx~S|y#x zTT#AU@`tmWsdc)N8~w>qRVI*XQkc;6^|5tM z`!6f?-0>|RYntMo(@t9du(ry2`+$gpwCN%*6ZP`xEw2V+$lLoGX}LxUQJNLd2Mj%( zx&h0C*-r=Ec7DBQb` zE1z}5c>{k@4LV1RJ8wIgo5C+{4Y#=q`POf=$&a%n^nT&S)1rD)L_y3nTnGi92IOh zn}cLa=x2tAG5g>765F5H@s>9{38s8@zy$K+4jUtu8Gn^J-EO~0@LOUAW8zNQKb5J9 zWW1|91;$GcmnFiza^__Cn~~sgr#N4uz6(jRUeM6Ir3}h%6|xbmD^he4>-=UbKP zMj!A_In6#U{w|;8)wklDy6jcC7_JDHfd0A{^iuWKhG@IMu6VpdB`frs{b=)WC+Dho za}QU?l_2!#YC+0@bdy@*-tn^Y>NVF|Wk)2dkEPX= zR9%KA;dH!J?4v>C#B&!4wJ(C;Mh(ZGm%y2>WlE{mh|?0D^o@YmIP24ed*kYZKH#~A zAhBwz^@$VaII&8e!3>W$KC1&4@RW=u@g9F^^*xV+6_No)xbUo14A5%H-R5+DE9}Z> z!K;FPXJ(-xN7l+NzQ3L{8`m*&je#{SP8=?QiQ5mu_Nlplw&9WJ5x6V!T2i_1JT5U?iMz18F$Wz zddBdi-;iil?QCMEVbHM-L3}~$C`5E3_onlW#mptwxN514^TP$<_;!vib&=|aH6}AF zjy0?<8gM$bd~yk3)ycSRp_1TWk01E1^tQ}byG6PvU2sb*MgA6C%D51QO!LUx4Z=D> z5}e%|lyPUeJhyL;W80h$32<>)agv$uSnenie*LF_Hw*Tf&urWVNedS@%(Rl`7`1sH zuL!NJ^(BMW(K|L%`8797R`CVbH%idO!oH##8tIiPkAzxt`L;h9FMLOKm4QLJBk9<| zss}sTXQ5pTd!_??{~rKcK%>8KEH9a^3$GUD8`bM7+|x~Kt|MI0&Q9ZPaNmwM@OMw; zZ%@_YGTjTIZMyqMlFe}{SUXml#>A+(RCcqP=_L#i$4r)Lld&Cb z8qe%(p1bXZKg}_2tP|v#3stww`K72fw-h#!!k2RFduQ`lac3I$%DJK>be5`tR`||F z*vEV}bT-dPDGWdqQ&2hfR_skJd|r$-WA24x860m_nBY3#3l*zq1K+-(d8LJOP{j=L z+PCDJW8b~$IF!OXGZuVFj#Lb$6nn|Fvr&u;aV^QFn2sutgSF{e7*+Gp33*a@B8BT- zI-4+7%rT;frb<6|fy_rf4&N&mcudt*sk+`%e5dTPX2E7tz8cq`v^KAU&iFR;>9+x2 zwq%3t>C8OXyat^0`bNlZ4|)-?{W}`Jvnh6uX`gX+xn^0(eg*Gj8-D!#63j2x1Hb6^ z$2Q`T##+dSc3n%``8c^Y_2}5jr;OrffOjN~YZK9{g$Do4-=du+*%l!ov_!~4qThA z6g%q!_Q|Jla~=(|o-av}H^>>yV}R(h?rI2z-q~=*dFNLHS^(FxJt#3irN* zXXbsZ0-r(AxDIUgNLFyXwUDcVc)f+|9`y37{`bD?%xo)V{Wt1{=X`z_t~V}xE*JjI zm0j1NpMy@x{=)&DWgT` ztzGA3*aug+h3I@%=Wi0{Yvd29b4=Y+Hcchw!+AhHLpz(-c||thQao^}?j))^P_;H( zJ6Kp;mr*aA_sSpLSR5nnY`I2)ag!Vej^er;SG#H4mSY#uzSkDVN4TapvV)5S8d6)W36o-_v5;+QpjQyOXt`n<9HdbR|%U(VQy6Y z55`{BHjfW84O+optXI;4%;TQ7HjRrSUbnV+{)A(%s`fmJ!!o9`7QDkYpo%Hiwp=TA zs+O!2wlUWxRbt$k@7I_M9%Dk!_&z@}UE8pQJ<9i6 zn_`9QKwm{UN2TAA&Ew{Y&WuEQ2>WVnIR>8FW?^qQuF+UrcNp!}Qcmfn=Wio?CdL^q zVL2n7uZ50cdn)^eX8~QRU>p_4ugDLq7S7l@;9(V4R`aNZ&≶!8YB2ubyr291GZ! zU7NqlHgU!o<~U(~H|O$mKRx&_`Mn$qt*Afj6Y*sS@LlqITf2@~=JB@RCue&*+uk*O zyP@^w-!=VCK#whagLLA$7(Pe3;5XMOWt>U_eqg<@$5@-H>Ju?Pq|Ril+d^C@=AdfW z(`3`Q5!YPd8V@$D^FrRk_f+l?_knAZY9S{W&*JZ}Mzf{)G-Sgx@GjH2p*ilUA3P73 zCaNxl`Jkq=1i$MAPpQTjC9YHDwPW25$B?30p-SAV>H8FX-eZscPB>MZ*MolWE3v%UJiV=Bm~a)q62tw>Ho9M>VC4 zlWzqNwKmPKSES!Mo7Y!zO`*Eq!1>Iz#~eq+d?(gbqpsxsTbpCaxfV~&tG9U#AllJA z$Z-#6oLcLKXwyI+>i*LF8sS4ULQinctqGp1oZDu>?ozg*@)Z%|SO&Vj0^aBIhWl=X zP1Vp@x0JtM$`#%uK2rt$KxwD*IsFahk^`*1)`7jv z{^b(35$$C5fh(Bv#x$lh>-c@(XO)3(v=kp;e}VamvGQxv@iC5laV{`pS(P8vSd3?_ zZJOub+B_b~@h`+>M0wOk`1H!=;22pI_*z+?a!n}X)fv~G+s5YcxL5celc)>gYMzggrfHpJ_urXG?ZP@Z7J(d}=xiTH4D*_Iw+(j_d@sU(jc6L09oPy0dv) z7}tgM&Rd(uViNISPtWh!cQAirjQLrc$A>Z=CiBP!-gy@2mg5)C!#RPmn2bL>hqLks zc2UMuoO>T*?EAJ&YdEtX`p~X%7aTw6Y>Iox-(ekSO|(*RFwE5=rj>JiTe7v#?$`<6 zROKxio5zkT*gLd=7~iw8dEH=+A?{3LrZJ|Ru@i~>%Z7A1>e(C<$a{`9Z38;C74s_W z8vlUy>IU>M$DeDG4YZ9=e{fAX`@U?ubF4B6k(p=)#-Cl}^R0qWDD>=*>DdN&cQ>}2`zq;gVaxfI z%3}ONgsD+B>`mHxhxUH35gAK?zF`HvCg<_kw%M4@W4E+t?CUia*PS-RlfC#x)c|wO z7{B2>QVnM>$FTF9F5uhVPS>s;=`2z99`Z^>ek;-g{cN^9H>PV6R1RI;O98DaqC=EV z#F$HDZ#Kfm;{0w4`l}(E9CNwMKgf?A>5ICG{mmUL80es8XdCV_~^<=-UwRyeOCK#g<`jvCaNvxq3bio|wmd@&i?CMOz z3;6b&%kkOXTS(eFdK(`j;thXuNv9WoLaux6r+MG-B)QU6hru!esb1mkC`^~u^ z#+xxlZ@X}&wDe7P!hUFkPgV<=$?6k03sQ zzoUJ?IVM5B%H}b?s1|c6W{((5#rIsd8pYRJ;vvS_F6EWj)~qegU0}V=5=N3`sRx}? z3O&VhQ21k2liUee-3q=g1iz+0?`>_0g)JIa zJp1tsZcXPgqx#FJHZsD3t9tD5?*r3yxJ=w>yTSn>ZL_AQDZIuL{D}OS=oD>UwEz{(t`&8cG-Ac^sCf_xG z%4fKiQ)^WCxl-^&8PGP<;VR%ojx*FYjmIQm5Aa;^eXhZYYOz%O2mKGM=_-gfRNp1t zThp^7#x8_zEd~AiKtCm+`xuX0ESzCUtWDvCPstTIjCE6Y>^dH{w`ncog@D*Y)|F$OZKe&^trwtQat$WeB5aAaMbK9TdvirPy`b}c4cB*o?#}=Z z@8FCU^88>9P$*k3hfWQSHlCl?F0a24F=-;BLQ-PH=arVe~R zg+W#|VNraFb3=^HDoN(pW>B$R#7Q!4vl8}sVLG3$>X4Amcs?^0xTLwO`jjGY z{Zs*6ON(O!oFiNEIa`b4om{Ke(tHbHQ>}@2k$3i{3Ihtjcs9r^Dlq=pWQWXMs<^`VZs9YcUu6?nRL2(T98Jeq}{AKuh*&O?GNU z{vAF;SsKT^Yu7lE4XnK-pY_tN<9*rh>gZfyoF-y&QEyzrz5AqRcijF)luj8BZtAgt}>m~w3z@4`6AWb-^aW5yNEPT3pfiL*4yy{q?Yv8RYFtBL3F zJYCxyQ^9r{`kbwhhbZ>Cgb6)`zv8xpnc}!p71$sV{nQy;p77(M`wFX9-mvdK&AauV z(!Yu@b;_`uIZ*J)8rN^X$qDx_M3jark!_$7<6lq%mJ;rJq2a9rmQ z$V(OQzQT|c3w}T%e@S8Ymf}GiXN}}?ZF8Ik?o}1gqZE4(;aiiC!Qup8M`uD4@P84U zS>?hUvA-02mrk59k?&Y7=qly^sd%7@PoBc%m4QCLY3wcuzy5LhsKj^G!a1}=>!m#W zl8;^nx>m_|IYP)js_WhvAYH1yRi{p!^PTT}@9(P~-dneJ zw1!7k!Mmzv3;lq$4Oz3teLAl%r?$>kx}UvDdt`by=114qQs@EZ>8qJvXP=QZ^u0>H zko(a7y0w2Vb>{276IfRhw`z@jx_#Yu3k>wv-OwKUeBC#VecuxG=>-P*ze8DPkNu!I zkv@N@FX!E7|DgNO3tWLOvO;c<2k@cp_nnZAPxO8Fr}4YhIrE-2``osE^|`Rx7oC1~ z-&JGWZ@&BWS#{$3Jl|Zgw(dE9fOB)j_5AyI-#(Y>ciU>)QSBA3XFc!Q_ZojYYAqYq znr3Q@s{h1#r@Q7YYuqhs{HZ!O)%bS*S!W&%KUMb|>b_8OSFzQR`rT0Hq;o&E=A5bL zBlSB`ZFAL@TkniJux@nvlBeGL={r0A-&YH(>k7MhjS!r!FVpoT z3^X;5dJP$9>VYEbo`I&;U4P@H$JO6L={iG=x6s0yuA{WS-PS&KZs8PT zzBRtCp$tJ&>yfMX_5LwytdX@&jXV84mxfJ`RjTWe8c*j`N{gm+t|w7#I!~bI$gF+| zXkrPvc2MV*atp_)#TUA6v0FE$TVGvf zx3;jauBI<XDCljjmgVb2%4H5 z*nz8WT)mcVtroWWdYyL%>KyjK=Xd6SYt{ujxbZvp>z@18`nq*!z9q-un)&VrTlXZE z{jU3=HSgK5rYmrRJM>~d3wy@(SHL`6vA48`Zo2cNE7st5^nC!@-woNdK3#vH?>kic z`;er=b2&I2bMMy6_I-!FPR~-%)B)P|RiB*c)RFow2y}JOu4J zrqGhzx-GU~wf)vWJ&QoQ4lcCT)4dNY_yTLp-124C#bk9nvx=GRT0YMNH1QG*qn<~@ z81&e%UAwXT9`toz4yaH6Cg60gJ?PL>UyW{`jz=9IvG4l~+gIp*m(s0MCLJEr_eecuGxYwi241+9R$1nqAW)_o^p+lPJf z2!Ye_lCEq+EAA;+U!T4TH+?jZA8^0Aw1GQxbH9CF$1~^w*1fL&A6vQ_fz#g$b^U47 z!9%i!2K1U^u)0p3uGy1yH3;kaK+s<&)cuyItAptZ-@zV(gY|VWefi|B-wQ3B(2b|v zujYh+2d|Ut`pKk+epcn}(Hh^Ftl*yqsap-!=z^}_(*6DcudsVo)gyj7ry&;Hu)}vY4(DYK>#JhR$I@ri zj--6 z4BC;Wwhz;dhuJnv)T5_pXZ_Wj8_J&O^Vv1bI*wX)Xg%nmfv!FXI{O%Obf!JVzS5Nm zX!7l$x{fS)nppBw>x0y4xADa+`D@GL0G!U}hSoc_Ebrzj`)JtaVb-x5x;Av5jof$I zIne~1uH9ReA3{AgW;f@LdgORt&JXvwk|Th3e_+wyMPiNsktSDXt@?(8_s37x>1ik7*9#P7EAyUrJ!Uw6JD zjxRYMcQU7NenQ-TLEQbW^Lw)WcOlh zNcP62MZbs4T)r6tnSRx;Z;gYTq3YGVjnsV&d5x7^_N-URoDH?o8h?6KiMbBs!4`;j>sAh%|f4-aptGR0&)jN(YoMPEt z;UZFdg(NK1n3=e$U=LMmD4{2!4T@*EfF>2!h4|0qiPgvpaWKI{NR1pAOw<%mK~=3P zl(Qf~@mTFyOaEu zNa8P+^CzN5Cfh^tpGxklc3>ucnY>HP)9Q%Dbu6A&zmeq3Ey)dI*)LWw)ID+uMFnRh z=C0mIQw1PF3<5W+Ggd~xp6NOtUWKj2LBtyMVOzss{|EZD~OzkZ-`-u&8B6U^& z*OXa715J-u$(fp3Vnv@=(ypd&BIiO9_uR;ZK+Wb${?wF26()QxwV75h^&DIf#UWs?`(8$Mp)K;wU2fQFBG)DwvXG*z_Wj#sl*XmCvEO@I}gSkWSvd_ts5t2En4t_ime(z)w9c;`N_FjUEm zNXptoa^8lNf{CyS5@9(c@))b%MD`68$AyxfEA1Q05n^*)J>zn0Dy8m3%Fl}HD;!jP zxoC~#PH~AjU$*D+Z7h2rGe#is9La-8DzE3NpNEnLLOD|)-wq`lp`^b+;%hFSLaBWs zh09RFLnSp*$r)TyexNk;1v&3XN)RNaNxlA1%99GBsf0XI@+?*>uW;kbzLAvbvE=(i zK5vdlow4j2E2)d*h)mit-`l~Agn00yu`+U{rK7 z9j)35g-a;8hA5mz66-{cPnB&&jl^O`8fYtms3%131C}&GgifN$awDn-Rg$ndw1q^J zHB+y(mSn!Mi-?l0L5q91((+t!TFs9&&%smt##{L0#%9tMfqo}dP+=p*ePcI7@>!r{ zyp}sqwh&{x5UJ6jk*Sq_7%HqYW4j@W`994VSm0PwZW$HOql9U_!oSHt3q@ zd1gjvp5c!E!A5rxiz@XKswBI%AZ2DoVEc8PA`tv8vZ5G~1dYtU-Z zyB8>#PmH!>N@6y&$}E{jOvK3MqR?m#X6TGsI)SNu2`t;D(Oqp{sgV_0DpTWQQA=ZW zwGFkjlb!<`3ydoM;flMs;YY4wT8(|7|8o^1L(+1^)>EV?E;M&kMbB(&B~ZNVE1iUt zR3e5BLp2UEQU!JF9ctZG@A-yPiJXy1zn>_}j+z(_wK#++ovEXO)L5bQ87llxWA`@J z4L7{ZjErH1Z?%`sjV+{c&#Y7L3+mVfZAlM}XWK;T>?>lm_zE9b@iaYU-w+kYY;2X9 zYC{tNrP602mUhrPr1c={=zHA39W|B(HNH4D7AUdC)>d|V#n^(bmb0P3S76p>;|&=t zL`)R0j(cJWL84+MOvZws@-6DILS_9DD`JRc?6cDK10~gmibIIeLmD&nXui@gMB3L- zQCDeVXGq4k0_j;t(r>JNMr=I8Pi3sy%}Sj)O)mb9R2$AFfV z)AZ7-M`pi}>a8*At9OSACkq*~90^?Rh~G?ljCK4@$DVv8eXF;J;;210Xmle}+=*3` zDr)IgA|s_E85gaigr$o8O;toSmr;#UUUOqTCMr_c#D448Ya&NTqy<`!gQWGEi+#-0 zvsl7f_pM{2iF&ub&QuH`61^)(Gi3|w_+%>IOI7bgTE@9*NhLL8(sGSe3^rC#gILlv zRT9ECvRd0$+6sYY*O>J0VznRIZfRr*m(bx(GzwbLGGciaxTRyTp?_pq%~~>HuS7%lf113sYlJuZs3Gi3={1GM z(&l7}@*=5ABguEf_&R}!0@xBGQdlWe|48O6s(45$ArFnqEZe@DFKK*$3g@MopBR6J zTGVd7DPMkd_S=T7E6G>@H&Dd~F5IED79T*S60Z3EEhBw3Wla zootS_*P|Y55qGj3*s@mI7By~RG3w0L&Y@`R&s(uH)S+(FdWKuH9m+RzCAD%B@ve6- zGM2p_2dmhFzJ^Ng5)%uwcLsNKqi&}vzdTf)wwCsxl&!InHB3I`QgYTgLauBlrg9OX z%7@o}d#y1;NyoKE6iXQ!$o@KW&g640B`lMBRP&35fywvH{9wbMORsTTc zBI?LutYEJ1W0hH`wQelmPUTu+C6$@dIJImq)O&#%S4S?Zt3u`Lb*!S+i}mUwc~|GU zB9*z}QYYvvR3TSZ=Z_Nklo@P?>ME8{GHGeXa>Q8Tug+oxN_TLj#bN_RYN9N4KAftR zCvv^@7(`y#IaMYl0%_SjNXf#Qx)ZsNI)9Ry9U(FX%hc|YR%W=~S!`&SccT6F5u09Z zn~Iq1IhHm*?a58nG48%-d1!jqydRt2tR>4b#n)ZyPiH<^%NN2O2@+T`f%Rl5ez1d^ zybtM>y{zT`VuNekfwlHC3w08cf7g)-U+p(rV`cUqnS7Tj{c<`69h}Oww>{Bjo=EPE zuB51KfVAURkWOU$d&j7q!$+;SXV9^!aSIzwmYrI-BP~y*wwaquXw4akd@HtgLu(~| z%4?=A>LaK2fmra3Et{X36)>}xMAa~1Ro#P36td=mrUI?e0#IcK5_xJ5D4@Ds7@C9I&wChmt#{He);+1bH%6jSeUvk%B< z%T*qh)|mcEjzB6?N{wCI^(E~n3_gXQb)4U1`&C+zC|~8fxsSMq4mr zgS4-hsWH+qbj>I90cs<#p}i)XuH*TqZ8~hlLE3y^-`Un6Q+tOMZzfjG-u9VTD+^Y0 zb$$v`(rRn;1vNetF?7<5MopBas%M+XV=T|HxZhAmbv;&3>R!4+cOv6qBiS;R*M<76 z&Y5VPnhN4g(Y7htszZ-C?y0J4A2kH^yc=@Fk(y&H;VEUDbS(d8vKp}QKT=bPK&+b2 z$ja~OxMraIt~xHjm2F9s*I!?Svet;oevztBOl8a?l)qS2FGe!voGN=ORTeT6um&oY zL9OUNUCUdidCjH-x+Q~n?6cp`qQ1{hjibNi_Eg4PD1>jO`MJzpT<`?7+;PM8yUwPT@^=6B#@X~2~xAwd4r+xA_F7)>}uymcj3-z z8y^aptZd`qBUP1Y*GJoa)M@#yui>ePB<{gAw&I_tH3D1#r+#aq>aCg>U?{Mlt8?0i zZXc?9jcaP2+Lb*`&*7<&bOzh+yi@nC`%~*lGhTt{11H(9sl z*S5EOOJ*ZeqpztK8~-00`t)0pz&CW|TbZD`ZD`qSzD424qHfr-1$8tAsy3vaXbi;a z+E-Z{JGaYp0&gxA>Y{QVps#V(S_zhzIIB z1{>2u`FtQp99WouCMHuyK$@{pC#Hx^e!sER&?&z_KY=al8e932hF-c+#+s*w7Hu9*ySF0rkF4&SNI<8#TOf)tyHLHj_Fd&0hXsr$!*@UfpUSrofk4-=fBIk;87DRwM zXT@)*ei;ayr^Iid?m4J(Z?gS&o;v$R@MUoCW1n_rU%K+_n_l|TM`tg88Te1WCjRX_ z@ntZmt_IcBP+mRx4sli8y5`ocZoTT(t!};Q)-g|=>K0VDPIU`*o&e|TKga$9&c?m( zI(M8$1g4zhlf?nJ1?GUe$A<%qJeMUt$|Dv8KEZepjY}6-?{Mc8=R3}a#V$A}hbQIE z0`jk9#lMN@Peg_1cn-Puf1U3-|4KZss^N5>QvQUXzMKD}F#l~v{htr>Uu5*c??=Vg z82w_Hdryn=xoRBOVfX%0%=0y|*9$Sq9Qb=nSiPN_e+#%gJTm{^IV}=WKKa{W{>lN* z-uPrx{JVhS_goPFUjIlyzs9ri=J+_wALH3wF$2Ei;m50aDxUj}^N%IG3R(^3@|St` z8AcahNk;#c)6enD`}t&4y%XH~wpioW1s&~+cYHVpnE;OixV+^aZ32G|%G`$s?vlHr zX|OC`8Vt&mv6LgUI2WAD&Q<4{*hlBEI9?v@qf9CwL^9Qmf|EySBjc^HU zwf)Qd7FZq@zC?HKpBx^~!E$GDa`c};_^Se*@N+OmU)<(GVkPH)^%7u&&_R}GU_>^D zPW#C(%E9Ed>GukbO{SmL0Lvf8_kWfFcoGyI1YEATK?24T&ZJ z(=58Y^Tr)9^TRL_dwk==fPY>B;z$4d^B=u-?Zx6~gfWFDk)K3A_la`uXQ@B^ttlpS z_{A>@Zp8QgtKi^o3h(Qhm{E*+T<_$u7~GdKL#(!93AueWUG>NiK;o#97SB_!Bp!Y# z%s-OnAt*C<{%%2NdtyVc@az+!$J1l+@A^ADHpn+UJWZI7lQ7>eehNjwb2;-SmtjKS zevrOVhz$HjPs&Yt?~k0nbiU=hp^`EUXi8LwJ@9KGnYaH5QanD?v?wKvqSJx7X}a9ynA z_QtL|KgV|i`X!zR14MXMZh(PD=rWxIK1*_zl;VF>;F1hs?6GLV@c_fj%~B7)wG6#z zPsnUxj|jf{3$ezj(1|C`4d(^1*5is_4r{C%+}9)^_^GH?Uon~3nZ4ys&3}d1!KEMz zK_3D!V(Ai7MJ-=n1rvr21jk$hB~F9%5b$fym(kWy}cbGx6;BQ6T%gZ&Y{z%O_(jSdVGD% zl?u;e%UL=H&J$7sOJyLz5DX!}Se#s~ruX;6e^*fcA$RwVHOx|S7#31;%n-qYo z$Pzcq_DezNf)PB#!(=nxOgxnTQz0YpM)AqfL5{XJLT{7`rFSLrDSGDmbw^r1-xk#H zb+NixMGckot#olwQEnxe%DtKage`M)QZD38y#UG1f*5Xr|0t}}6WjOH9 zCw_Qx-ksfiHOE6HXo21PQ(-s!p;I~=LjS$3wt8S?#T>So@uXrzczk3@$+q;&%4M~4 zJ`_4|4wQiHbo}vm;1~-9G7~htJ>5ME&P`M7(;`TV;5^t7XyUpXJkP%|n|gT1!%52g zlqEYsx*dNw_C_zKI3PpM4ell=_TtMPUM9jops`a`Fy8wUA+f&hTyhSD#Cpkb%H!H( z^6MQl+mpfe+7;C;mHpJEpE>i%OP4OEgUJgC63Tl3;M18fEK*@N?8mHLxi6^TPXrZwQ&ttGLMy%5dB3z} zrSO&7=A@QnX4SGWhh@!m(&{zD(x}`c*;3O$DF>^DskLxh+E+i%A{ZnXKbumH=*tNT zA}>8xpfQb)%3v6Uj1SQ!3^73w&H2t{a4^ZzfpAI$MGOYRsUVaz5jQtp7^aahv=O2Y zvt;IH_{>oJHv@8ZtME-1(Tm0~+HY%?q-Ld^f)Etl1L{A$JQLJ0V^6p+kT$qR+S7PnQn|4(tcv6pxoe&}R!1`hz`yE~y*?Cd@? zzIIS}v)4VnE4uh8f=d#D^IP+a;d^s<@rAQ9_wp?lof$E>Pvc1tj^`D}1Y&iSjvqSP zf@@x1X}k(JSPAcnDg@}tGEv4f^f!T|7Qe>8a@`u2zvSh@xZk=A@h~NqZh><<=Mg3& zxP{UCSn}2w$B(5r$#!CzPR?BgFTP7GHY(j|P+)XcEHdRX<=Fj$qv~r4)J6( zIY_+QJbmUD!lB?L)yE)Q8iKee~_>10quAz~ld+{Pq;i>nCFlUXRVSFpzh zfXv_e@^blEzT;oNwL5Vy+;-7)o5FJ>8k0QTmfvPeDex_!39dOm=Dg;-r;>mxq0P#Z zjtK`X^R8{^+ve@wa>w$p#L!Y(OTQEFsk=!^jLr!Ug9<%YavB8Sr-gBsvE&Ni3l7HB z(*kV?5qd`o${pZd3DUcCXBzm+Y!E#Swij?wsS+2A@7}#TUi`H)bN8W#U38HXc#b7P zo|N0{wb@r=A^KyMP&6E+kZ~9BjQGjln4TFS%=|;@vq0E_@z@=>G(5P5J+z$*36P@K zmE~+yeV0dtk5kFbDxY`OdCvJ^=N-YBrjBsBjLyeg8}rTamX=t%Y+XH z%Zr%c2^$HVJnBYZjL>BszZ9|w%g--{U=#@EKSROUB?>(fR^F;RC5uR5~t5>fE zfM4Z8l@bC95^s}mS)llshS-GxA8w3`p?f{aygUm=G=lu^-@g6SQ%~LgnKME|qDyZ- zz>9knc>gSOx31htM%md^##p{9WO)sD6>Y!Vz^zZI_3Drj zGy#^qnkf#e?S1aG`tP$p>Wba-9^=V7hlj%C45Rel2NYca;c{>@pr72>Sj^{VHaB;- zwtgw&Y#4|6gfjTaFc&uHN2g@sRhT4#WB*)0lnE>TN1b;Go|VzD%7auC)xzLT>lqZF zns|^hVI-P8c(tasoxC<#HFZ|84zW%#*3laT8eJbUlya9({LBx|v$)70J7n?gfCR$< zg8L%kW9$D(#J#LtkF%v{jpHqZa@bR(Ah&Q7^c&$ zjRhT&#Tc`v1Tty1S?jFI%KN6U@g8>G;=Enj<-$>ejl8aGokHNL_TBcDq3}EP{|Zr} zw_-sV96FY^(eX*H(4??iAzxg6&b<_5&wH>CrcIhABR+5e9_=K_?glu&z}`NhHvpLV z_yVZHTIYl8CfGzM__+)vqkRSwxR~1=;vu?H^h4?n@nVHl&$Br6h- zBx7M+yU}-b|}o}gD^StP?(mpz}wj#WLYq{vg6_bq$Kxt z6BpvtJ^Iaoj|M?Fta0^i=YI>0FmrZ=|NgPx$;*1JPHKzDumW(RjqTk z;^#S7h=WghKv@13aM!3D+eEaUKev zMrc`#keg>9&%yx}ma9j2QhI|o2{(obF&t+A`_W^^Gw1~y2c822ZvRwDlatElYP(V< zFndx*j(vmSqSV1$@&Cee!UVX$)7L*4r60`jl`wtr>h;vUbYqrAyY8qM6#ig19z8F3 z?3Zk|l?gGaWkfv*4MtuO2R!^C4^!?-i~BDG+~0Bzgl3U3C*zH1x!mpM7$$EJYrBLger`$lBma**yZvpdiUT-)?n8Tf`*7Rd zc5T;1kz#_?sGoMfiPUz;`TCObAJV-1HJ-?Lp) zH%wpHh^Di0S9OmV1gH2iT~c=l^^S-ycI`tzG-JAoAv%DJ67jW zy?o%>&AUhH9oJGaVEQ)8WK|c5?{0~>yEG?eo4O=BzJ%me8Dp1TFj8`k_2+FzE};%P zH`-OxzGrL3&d3cX9r4R>t(cva`?wzVeHk``!F)Uz*b+%de%~$Ac0A*{x@_IvzLZh7 zSI$2-s;62mg|0cejDD}SI`Z;L zb4%qxYE9Sb+Ppm6y?0oXLGvhT#R7_o3W$J6mrg=&3J3@Ul-?1kq4!>tDoPOuEp&k( zU3v$RUP225=|~Au0up+^Z&3Vx-#Pbr?mhpW{6X35&g{<2PT86F-Mr|G4DID1?sp}2 zJE}W-7>!iB*bNnl^y3hgz2t}RuHg0_29aUj62_$Km&2qi%I|w3NJb~^x$mqGRdKO! zn*WF@D3m~tU5@vopu18{@AW$yHusd{%kdDa8C%7AWSSIP*}iJGeh`zU-YvfTyy*1# zi9CBPHjs^MjOW@CG=2?dfA`HZk@^>kGS>GCa&HXE@YR$)U?B^^Tr}{(QLl)qT&@>LYp&ge_&iDwBc97cs?;RB6w`z!)sM%ymVX=I5GNKyT-4G(D~cKZbT&n*wg5l=^jK6Ch8xRp9X0klqcq{hCq zBJ%Rvzm4y7?wkg7cahNeH^1L?1n17Z6gf2f(|qo&ho*$aj`al!>1knJ?t|w*bEfZg z;KCt;X=?-E&QMpS=X$^hS6c^d`pZn%cvIexBd)wBed*Lsf(_e3wVwqBN zbg!KL^ToPD|2+OLSN`lkY1$*f)7Jm>N3u#hE6_$&V?(Wn-CByc{I`QjbT!pEmmHh_ zwg3OG|EEvVA3CW*&F8Z;^O^%;d>%#2>o+;J<;Is=VxD|y8c>QahYjWu>gCBL5ZC?q zgj1~h0o!E(Ivhqd!<%JqWKY%oP)Z+tEpRhV=@_wHL@HO6+z`2}%ao+es?f_i-%1b| zv>#54+3r<Ssfw82JE#+^<`)&<;j4FLsIXnK znJoIW9RDPly+^U@>rVDX^OsxMemSbu!k<5wD;%@ux;aTgvZ28yLzP;!HeEhiF3;yP zyq`r63heoR_8bk-iY(^hNe#@jY7k`izzljXHo@SZs-HteIM^6#MaGP4be-C5vIL)$ z&6+D|^{BdgN-f zo=_CylE@D}+~^`K)JdG=wQBJB^?Rk=hJ-JW!|7MJr4fs|6jVMTI?Szbkaw%}(rmA; zHp{xEy0%!guorjrPR#Xu9R_{RK8K>(qkXNZZ&)#FAC641_O7owjL`%>*E!E^^PZ#r zf4gl3^q<>S{!gy*{ht>Y{=LliKNqq*;^pK8asGc_=gY+n`mZ}^R>q)?_f#j>*7Hm! zvc?X^+pMoVrJG3Qf9HF>{dMlGNX~HbM+P??WW?`C#@{xQzr}bf!us~hTUUQrvB+4+ z`HFJXx>L8jqe;`RRGT6_!W7Ie4dtpgtQ=%jJX~IR&?Bnqq>wYSQ{tUV`XXn0vMjf6 zV;OdG$oN*q^}tVYn^FLBmBwF^)8}YxYLAcS+_@r$MMaCw`TN&OBRhsE?x%=A(J>+%2I2u#gK<#BTLEjsLAX zWqsiD=iW09ffKYw0TiFUWSY-A!x_$E)-k-N!!?V25!cQ=Wz9yoM-L%6_tsSjvVkNX`vVB~0uQGMfD`ez$(ZZ3Q}rm=rbFbg z?Jsai)TMKek~5&r)$}`|#9F7TG43Y;Lx6?6;6V;g+0gsn68{_%&;`XKjWmY`P5y1V zjPEo9bn^2tJMDBIkCH1Bj(I0mdIvwRE>C>RIxq9ADFkyAa??uc*43?a9w+S z?~3UEqMyekl-~bRce7>}-O5E88WS~2Jc%>_I%ZDkGXUTC$8QM;2qe{PeG;=2*|qzW zcKrjUJY<&`*CZnJ8wb?Psp$g=;R>1%g!1HiTDL69?0{3OcSka zhRr^i>2`5z^CNB(MC{SGPv3=BDIZ0dI27I)W~yn9k`NZh4@Rh<#<#YO#bv$;@2zws z8Y$gt>&@HYQt6$%c*n0j#gq-G8!v9tXK6Ptv%eSL^PR`Th<*98wZLz#UShj$qBBx| zY^agHdM%F8c?qH{2x~}let1;7kuQ=bF(H;=i%kZ_Yi#e5ZwX)_?7R}{(lg16a%Y_7 zI9%nXp?e*}$OnNco|i^-B0M##&AfhfQIWnwFh?gY1n~#rsVSe0*gLerFA=kDs$h;~ zo_-rf`PJy>zAf-j^nivk%ua1+cvG;s!4Nn>*=L&^S#=BmgDGDJ4oyP{5C`eed()%Y)|rah{HA>!>Z~Jab9Pc=}c&EGy(m;jlSo0L%C=d{FdWmyX?tpp&kJ{vMN*i~g#wn)BdJ zm-z$ij=B)P4tM%qaakMl!5xZwbfYItQT-H2$f|Z2{h5-oYGaR>c01hL$xBJ0exUcp zb;j!=VLoFgKh>2&@A>qE8iu2N6C<~}u|~pV*?q;sxn?Gt)H2d8r7Sf*DRZ}y{XP-_wA;7j4) ztIG=u#=Q-ut~0QujM!_L330uTsU7RCtVJAJAaBoay_%F5xvrUJG{i#v+{(&cqAYAJ zLB~WDpxqeV)HNCgCWpKz^<&7TT+P zBB%)I_QPPM@RY;ChYX78J8?^#2a37nJkW`9%9HKqp6p6GFYvl922hlJ0xlZ~q%VG( zS@rK!;Y=%lk1IU3-b_BWk2@6DZ1AG;@q5EZdb$#2U?uJwkFQZ- zH%u9Nh6K|+)%eJDZk8kudAz3KVr6puQz+l=9syCzLW;ly1wx1h_jE;u|Xei8}DE1#poE}-kjO1)+Rh9sJ|a9MDGfz zAFsHEY%l7vj*c5~R}P-XHh(C)Ql+0JZ5^aW)pRz?Aw%S7Tp01vKvEWHv!WMk^YY=s z<0#V8i2~Y|?_s@+Z*AL_p-UM902*!@f^(xxI%Q(eiW%gILu)cQFb+dVo4ySmMY;7Kskf zeYC0usmZZA?Y=|Ai&Im)XpUNe9Sl+cOX=2g;A}&DDW;hZJ_@8)9b7V15TbHc#;wWE z%WafF7n~bv8rm8O`FOJFN3uRq&nsptlY>l?JjpxJ9sI=}#NkN^8m3z6S9OI5D0p4JP=~BU_A9uI!X@34uZ+q*048G&^g3YCoZ;^ zYp8P@Sq9`G+0Zv&JT;UIej06wDkGnEBeq@W@(5i#twAtgP7JK$r?wt&6pm|^S4SmC zWtift_Me84FmpR`l=COQIhyuDv5Ab|OxP&}u8jD^bq_;`5}oXlM5kb)(E{w+lnkWw zKJDvtSKh1g1G-l~}hOfp#hI%+lv z><25P{o4=Dad#hM9h_!lJY1q|;H-$^b(J@_D*8+BA-XR%eV}ZM(1ll4KBE{hRMJdX z)3)IV52o&TP;iVM;!Y}m(v;sNIa&w3UgdSZP~1ZnRBbqt_%S5wuZ}4Yb$#a_*J$`e z*xPRVTi;B}jVuOM^FGnS9u22&hTR7A?v$U_;y4yb%k}q=6F=r$Gs<&nuH2)5_&=Uc{+B~c($hZzF<>$(qrEfovo}G91nsTu+ANi2UQQF>=Y84?*v!f-% zpI<|6T`DbipLNRaqjUK9O*vuU6eg$r;;p$S;%=^w`ANy8@j5D51*PNk`@%IodZ!v2 z`K@Y1nfqXE-;7AAAquP?UI$gPwB#06ie{*|*U3K`jVR-^$eV3XIl7?~F+P3A&|SyZ zx#Qylg#hj{r|ss@L~{m{6ng2-YSze_TGSoHJopaiz&ST$qXo zYqv!Og8AcqOWLw?JB$8xeH~gXNM(L87fe{$Sly(YE1KD_OUZm_WmYr^HWeE(swX>; z9ajK+hkI~VMInp6U)QOH5MFP0DzNst?nuu({(R-tCH+t6ka?Dy?RUc(zN_t2EbYa> z_*CjG3$LiwxJ>p&qro?*)z`R4ErsqK{-yYtmKNO`y?n=dUsTQVh*i+mF+h45cJYI` ziwE&;oHCy30Oc448Z1)&sukA2alY^|EQrz9A%g2Hh+y2-e`H{MT&`Tz zK|NtAGL+%1QauRO=_c|h6jo@t_ba^y6r}ii{n?iZ{s~Qx{FJ4tWdy{|HKqf zjUyF~SuvEq`IW4d^<$@Ao7cAWTYnkDwu=u`&jwN$yE>I5@Bb9TMeE+Mzn3N~d>hyU z$)}PASi|EyN>RC2N>8%cV;RU4*)g zBlHx*Cpnc7(yBBXQ0nbI9qd>Eid35u@AkFQTJcCbk~F#)!L@@Js7 zd)&iCJB?1}arS0fJ`K@tSv+NJQsk=xH-PnGzXtQvV0UugEHk%d*SUP#5e-VFAey|? z^FTAi((qeu`=+;v13Pyl9veRJ<6A(VU@lxsrl{5s^>JP-vNe_KRF>+s`Rel{#%44; zv0lLjeo;y_t}uTrsRL3XM;t{fa#Kzqz?0ne;?cxqt8{NwmDtt6NfzqYd%79Ugr(WQ zYdY$Be@bbW0d z()g*ey{27+Sf{JBE^?=Qp9G^OB=BUV-~!w=RH6=mhFQI!K*c(7XK-@X-@d3(x%lv{ z6VeTZ9u1EW+0@8M{OnC95#P0e?R%&G>7qWQL>pUgBlB&1 zM`pmsMZkX2d1|54ba+n)jtv?a`@DB|v`Wc;B5V+j*t@%ph+m~Lr=C8Vc#q?0%kOe^ zwYREP&eGY7)J@r@){L+>U$Yy4b2&sD6%}Z*Hy)53ctx11>V9mKh;HA_(G9jUqL8Tl z^pYz1YR_}2?o-*4%Ym{_?A*9UhHYA?r9@&D)K>RZEPRzz0PIt5c^)>fR-Mm2o_GF= z1|tgr0$f~8YoK^#VgX-Nz#7hnDSL!~*0h9_Gb+l59Xtx>Pv&jM9P*)~#Gk?z*T=PX z+T4fi)iB2wOg1=#PBy#DuAj!PQRZ--LQjbcQU|}|R~JR7S--}>Xrj!qZS8zz-}#-8 zc2SMe-4oW{Gx>n{9Nw{pSi^C~F*`4f&Fv=~#$T*ud*zMWnTOjQvT>7eRZXC;^sdJ> zUt|!|J)Ft1j?FuoV*Wh!{=@sj%ONW|8K=fm_ywx=7-K!;(Li%P-D_8V$1(qTXY95i zq5$o~BT(uY+A)oD(>pN!($=W8#o2HvA1bIPF16EV7fTeI#)Eu`*d~*%nXrFzH+js1 znpvSrtKuNC@&G^clTzDNXAh)GdZ=zO%XOdgv_hoJagbA=Sp}6hdT`?!@Cs~E&(0K- zh?lMX)+Y|-p3kB~2}A`>PuEKFu4FmUjE-jL7`YLvBIt%iDv~ziw45KovPD`Kt=vq9 zcA^Qw%U@S$n|Se0sA-$+)$R4+c+k`xv09C=XLB@(r7LB>PM1lSa=Lx85t@5AKDyVX z#3_r(qGoTDP~C+;R$Vm;_3v4v{7?=P_V6e{pPwL5Uv!uDp(f?Fnq{^!;I;v1n`xw~ zx6ejvYT)B8k7}JUY7r z!*s6Ill^N!y(vjG2`T*b)(u-F%fAK2x{0?%^}J!5**{*ZqH|@c$lB;Lov`Tk;KnP= zT6V12P=zmlY3fYTR^6n899^~>QWM(Bc9{r+iGXW4K;_A0s~MFGKWA2S!gvckXdzug3r!ca&f5)v8f(A>(kJ=?JcIdL&Y?p7l>*Om&?9hwB z#=&(#(Wdfa{>;l$$nW!i-3!>MG`&C__9j##r;d28(W86kW>$kfDknb%Uafbcxv-kK zJjE0LD`w7~QGYaiG2-w^ddHg;+L{~5Xj!5SP}ddLy^@A!3?p!pYQX2cWM$rFmscUw zu6H?nD>4Wa+MueZp18?FK@wZvX5SqckYwP zAz?OJgk@zm`(qkWX2gn8E*uFC5p5=C=VA->yFAypBuuSP=F>yrBN89xNoqbEj!gD5 zh}yB{YtFe^-QtPhEqgHTsj(bqK7zKp6Z>fXyPgwLy#SrvvaVHHiH+0>_qw&rKgw)> zk2yaKOU9!QmC#dGtlcDR@P&W~;@`_G4kv*D$PY>_*`3(pQ- zZAYPH^C;*U?-zOvA6p8EniSJm`&?Fuh_%abs-95xQJ-@y1QmG{JK4WGEMZ5TIV?Ti zKP@7IkmJ$iyVyjv@l1k5wq)(c*aEV9IVM7&lR@D+H_tRpv;%EN6E|gPi)Ic zY#BG$l`ZygyJ2g%KQ^>ig&B6XxTi2J4=Y3z(l=x)0HogPmK~5tc~j)WQQbHexP3PdMJ9*vV6l^m2E#% z+gyZY{Ycux(qc+ZChw$&=J}%Nod->~jaZ2IkajytQpv5$g~$3V&w;l~`wTjMJv1L> zz;gwk9q3-De=M2Vg?#~ux?$J-KIf=mC1LFq%xGDbH(B*c7xf*H<;kB8qb~CoKdLjT zY1AJ@!P*uy1&f{EDa9ifk}CHp*C)(p2DjUFzX}90|@F9bnHhAj9k-as{*(KIZDiW zUMSe66P+yrTO|*8j^P;L__*TfA?B0SHNW{#rMZql^Cmtfio<72R@An;)#ut0kDuoX zO-w3&9+H24B*X38BD4~1C|mByZFi)3u#*XY@$6%dASLMw85rpOU;8QG)D{a2tWUSF zCkpxE6;=1x-w5P~7{DJV0jD%sE4z`0aVS$qq>uAbGIv0kO|iQFCrgt@r^y;6NJ;6s z+-Vxf&FuPVgcga|qvmsFf$m2BS1O}rtby({QFA`??4a^9R{u1?LU_6gC5QvAoZ~dV zlPUHWxWfQ?<1|Y$P&fxYldR_ah0|UE_yqt*{Q?GEZhvO*^;Ae$0WF@w=SWepCw!Wg z5q^s26%Z^xtohqAu6Wi`viPUZxc+ILDF*0N_qS>D>})g4eg99V&3O60Tz^=#{-^0I zKPGJ{tccISvF)54!x8c&GM=v2gYnOS7ZeV>nJfQw)1SGXsSS*nQ}QXD+);ne?}7@& z{(!1uJmbLzI^_>6y|Y-*V7dO$ALtC08TexgY4rq5Z_N$egUkPwilWy3+c19Wp#enj zbVQP#lGJ|K^;8JyARAd?YuoKh9H)u7`2xE${^;lL`<~8i^%Mo5L2hbp{eeIJ?2Ll7 zIEPc>FABuq`2l1B1-H2lsb0KXyp-tb0b?zb=nf{?p}Zo4TpnyZiW|(+RV=CACip z@qhnr`_Itb+4Ut>j=t0K2hZRC!Mcd^{x^d~*}Z>#1|o6)(8ht>{`J8S=_k!!*205- zOVjiZ?d$J{|LHEX{O|7!6+OM=So!7XiV5cg=Wp>K(;s}NV+Hb|)<3?!6FDWxB|KMw zkN-!2mB8mJDX51liNo3R{%K&#CpB|(I+c)5k$Z%twSuP-!f9}PfvvFTl!ip)^-sHUOYq~*NicBq z;g;})F6X`_cKquuBiH!{%v;O-<*DQPwRWiZK&Y_5;nw+El#_plXsT7)LShT5c0~B& zZf&%KXccezc%73B+W73yI&#th>I8Q8zt*uAO_4 zP#H4_E-Sr_{}y2Q=T_`xExn}6=N=toaKL)91t!O(-n+>H0q*Cvf8VH}gwgnBeE*L1 z|Ixp@K)}5`6h9Fl#<=xt`|kprX}r>0ossw&04_zK0N^Rzh<@t)kmk8_qb)-Sfn3aQ zYT%o2VGtmB{TX$CC{s$fNcg3eNDBN%;?PGoHgSle26#UXB5u-o5}MUx3W@gix~Y-R9x}#r~dk>y(>M zfjS7fO@x4*6!I8^$MqP%Rl2n_^bsKNBenssz9`xrUxaZ1F$(zP z4>l>}0WIzrH|M-2;5sOO%tl>^aGSxSVCV<8DHe{nR0BwWlkGt4pCXWhvG77b$J05az{24SZFZha`+Yi8yIY4n`93AS*wPlDTE>TAbG z#*t}xuB%21)*|-y_H)FPn9q2^4}bwHatm&9#R6V4Whn~Cq0BcrIOZ2_iFB%dg+o!; zgImV=;eqf3`Eb3b$zk_?a@`fW$NHUoke=wphp`5()kYid!bRBI>KXT<7P8^Ycdj_T z4MF^a+hzfmhKDCv(bB#4HiH`v0p$qA;g;^$*`5k-{q)0l%_%ccT_i?gfMp`E+D9hs z;k3y2O7g9+g-%tnf=0vhZ}xhk>_f(qzoa;a;AOL05+&?*T4*z1paemMXrsoRGWLFr z@B#vX(?UH|RXYNz7!KNJdlS2_Nl9@gTF4j#cf1{-7a>?TgDb9`{4gS)L~7}Vjd5W} zDUL!upUsp35_M}0AyBqoRlyDn7rMX$ff@yp++gpsFqvu$Fw7d3o0HZmiCU`+RW4in zB-o`#U^>Wy&}m(oFn!2jw432({sSv58rw9Of-Pxx-WyxEDwRwQ$AcBWng(_LirAa^ ziQyBo1Ss(&<**(amZ)_E6hC+wX?{hxd90mhDEVQ5*{qhbB2S7G(p~r6nlzNXG1%Ij z0OpvNHTqFnAl_O^#k>q81#frD{DTYd@5K<vl3@ z3dYlUYM^DIVJt;4kiCsg-8DBNp;k0ds4*lq|7M?v-O&ub%4lBF{`d&%aOsq~E8{#n z%~gM7_8L%D0oe#>LQ0F`r+r`F0#1uiS>w0skY?!B&k`K8s@p%HpfN7hSG(EC@uG?> ziasVqTEYbQIX~I zHkX>#NsMhL>cfS@hT*UG2BexI8f<)TFbWgIW>3HoKUpVd+gJilr8@>+%5oII#sY-&Ik0~tsa;Ur z9Ql*(E0N(LmFSE);$x}bGU0kh`8<$%u)s2=;00tO?I%3|GtvP$Y*@@`6_aDdC_a_B zEh`F%Lc9R>b01hp59K+#LP1@6=MLw`=zwhJ=3sNxF z1rH2x$mVvmA2m7Ou${)9_snjyDkE^j_vTIsQ*pViOE%@H;--a^oL0;&a^j2V$SV+g z`y=>@Hb6evPwJtVd+Jugp8e9*`pY^WdHMDz8rihY!#KQBSTcvaTlk* zKn;4A9%ecOUobv_c8r)_B9WTx88HHJhC}BSQoA`lBx?gpWj|x~7}g{V3#sT@;uzscZrCfey>E*?OmcZ^5r}}sA;`~1Z`4D}C-Fs&#RPDZ)_BY$ zC_k%V=@H$dkE{sIksp|gD6j`{Z^;v)$*^t?zHb(q;&vIXW0z}6f6>EDysNxbucKhC z+(F+y4phsDTXjMo6NCZRhR)_=B)f$*qKYr-cVS;;IpxpEJ~a7|m>gq_8xZtioOlaV z$eBEyKlLHfpxgsC@X-9M1fi?f+CTH`KsG|NyZCs@-Hhko6`lyG(yTiV3oy?+m9Mr4HR_Y_aFF%oVIl}>2rRiEY+@@wzGe*|zsDv9) z^2#IKH#4E5H{OxD5<>3J^;<&C;R4blVC<9I43A@JXjR_87HW^by!1gHgtKkh6&MGO zgOJ_ z4a{J}C;8$vyk9g+R0^lzjnN?I6%9c{jZU{IaN)$MbO#P>0hSts1Zp`M*CvH0>4j*k0jiIQ%a1m!o&&H~hLN{Vz3xk8}h;KYve`*P3SMyi;A+^*j& zi%4aD6)}QH<+p?$DYcKzk+@VQSD3T=H=S5EZFl-M7DtD zy!_?9*3lNNWKr<|+69$BZz?-vAD9P%(&U7~Pdz}OsJTN8^^ntUq;s~y>!pg1m&v?a z>XdOG5S2zxq`;Ej4t0z99MIEQxO zPC_5X;rR1l2p?+jZuec3=V5ku;lCZw8gGKkM(@OYJaKuEab4e5gRX{cZ)?JQ;pinPvBf^>Q z4(adM+3PMUqDr|Sg}#40T9gr8J6N&2-X+`b)7!f$j`0|O*oNpwP4lgRt_pmpN5OF*t;Q8DeCmzH+oo~Vg;!SbMr?$$|&6}?( zp8M87{ld%M^{`mtCU(KPW^SuCVH%zW)qsvZgpzmI=|IoM3SPbT z9ttm1)KGKZEN4u1RTzgi-=?NCkaA|b3|$hS>y`Gd$sv%hUwi9nK&7MMp-VRSzT;k& zCy@bV%lkvfg6^_3g$1dFTfyt#O?Atja=F%h3--Kk%V4Ajf?Vgn+2?6>SR5HRmi#G{ z==*$nx%@t%#G*_~=d(HI!p=tZ;!P+_~i{BEDk1*5b&FHV%OyC)a(+0@FQQ zZJ-=AG!BWWb0Dls_QIRUy{@{eEEIp$bc8by)fI33AO*IWQCbT)ma1w#Sh`w-jZCw* z(XF7)r0yu7&*E3NY)vakm*VwMyJmrtFTU`*V@unmx?RUnt3r?TG{VKAaw>KtiwpZm zr4uRI)5rOcHx_hauL1=2$F0OQ)Tr{)7FZbfeE)fu|0#+{#Oy!P$&Fg!^B#$$tq`V3 zU>IyIQ!h*uZ@jdCwRSS&;HL!l2{cRxbKLAHv4HYG=Iw&$ilNnEZ<V z$i{6ZppjYsnkP@z%dBA=rK3`hwTBDawD-|hC;94EGIR|+jjV!;Fe}z&7KiS!7iR*t zc3~?05~&1fYqQyi;EIBDvUhU@a&&he!Lp2ghsYw`=e~cH)l;lHU+|w;)Fc6#Y$mer z2G}G`jpJPCed_A@V7pwUQtM{l2gB^Xw`e{!m#OdQG1oYSi;bz5%v+FjJsg-(t^uB< zXk8wu(F!2=@~ni;;Vvyhq1b|;erbQo<@PCDz{{`8OoZFVg(H_aYLneB{)1YNsW3PX;!Ui}~?m>1wnu3b(1nijk&nBF})^2AXk%$Tk9tJp%R z8tSfS@dvuVn1fElqF|>NO2?(gsU9y&4jyS_!`tGd!vVz?0W*IVBLO;wKh9*WsO$R8 zaa^O}ebc>-RN)#En|xvBACCo>!hKi2n|$F!cV)waix8?_KNCsFLt1~acp4$M9Sa{W zXi_v)g}sq%xMo}sMaf?`V1T%pxs>-rO^Hb_i;lhy3h$4@1gQ%G;n=4<10c!)w%$Q7 znl=S*=JxKRbX=!n#F8u8?0FG{{iOU0hoGA_A(x%&zABHN za?3h9t}wX|$6Of>J4{dd^tPKL7cf}E+L?sgC%+P2eZ2W3UpDMyX?s&&>fSDe@gcmV zAU(Aa*4axLxta8}vw#CfVfCp|*bKR?|3q1t!OkjJFyOmRR$$`3LqD+tTCb5u0u|B> z*8aQ94lTF|;7$DWDJ8)hAbqb~$H^Ko0;Bd?xV6as=!^SdVtlq*ljZ2Q>6=syvI3U^ zrOv}AqC>PD(3U1trQ2o8OpJC`+ga+;gaJR_TW}mz{hB?Htd;$rflm)&&t!4j#hd73 zQEsoeue^OsN@D5a#;uKube5_Ma^mZWncL2Q)3K9WHL$t%E8Rbh`T zf{rH@WS(N2;xQ~XF+C$Yv^4v*r)Oz+Hw>8vLgugO3+?VWi(lX#1|zvLOLmTB-iuZO zXSAnQ)*p!aHXCzvfkN`aKBEaCQkUfVpUfx84pWN@(p;+23A9P#pQ_qZNBfmOrg$xu zS^NC5&Kyo1znr@qWdi32XHF{Hkrv-{i~korYMR1r8eFj7OtG&(26` z*7c$E%>wzXGH2muszCZU*iW+_39<=N zE9*}OkF(;b4MX8ttO*YYwnQ#(@@yoezMgl^Sbj=?uK%Sp(#HyZO8%X-k3LWt+Lp+U z@?@?6H>_R(Xc7F67J$#)R){^(_DHo3!`WYQOg1`~jg@nS z2OiGceQQ}SNK&+FA_#7+6vwGw!L)kvLNIwN+{na%+EMDO{a5~Lx39+`1~LRIu;Sd1 zmpdIU;)X;OM{}XKvQiT-Um#d|l!cCAaZa?8VHZOsG-L?YAx1!1j#^k^|6eFTWBfgm z0$8OTrth(1gSC5X0hch;L=j*xLJMmVPr_#xR9(%(D}E}R4~g~cGk^i}(bDc=Dcn&Z znr!tHzafwEHg=7l z*7~Zoxvd!o95a4>;joO_hYbpjuVw9=!{KL9Gji%SBcW9Tlj1d`%Yl*dtj=BA>g=d^s*IJ zI6n)}Rvf?Ta{apk{RM*jsPQtgk>(;Dt`ud?nl7+DnJzbU7y>X0FIs;O=#Y+a7(^4& zSHAIv1Q=5F#8h*G>oSZ+zOmq|bS8(B;6hs#F1>0IjC@5;;xb7mnbHH;Z@|JOo3EZ) zx6|m>WWGQ5fPFHUq%q^kHh6J!slP=3gZ$P?)`33=)mt&H){W zMY#U2nXml)Tox_XP2dyZ9HYaY1c0cf|E$OW7-a-6&bAVE6p%zol8YZhKUQS4fCFL# zN8^}Kp57`Qm6Uwf`WM{(jW9`vuGYCSDqLcFGKI=OR|s-TYJBTL?A9Rl6|Gc`wTvm>uMt_lKvb=-ji&Zo*(htwFV5r_7js?yPfsyhcWMHlb`*<+PE7TGkEY#@{)>(fYHP|Jl z?M^gQP&mx|g_1XsOL679*g} zo^rUd$ox=yP$Rfs4kY&EHjX$&<*w)T%M=g%OACqGZy3`b$SvJ?7rJK&@BYP&G?jvd zxF0ak>ZJ^LLJq0ltEyP%2tR?-9W&=d(DS`tQ?Z6=BJ2Zy3OECC$)5AOd)( z#|_YuW*_e=pQScp;xVnX>dQS-!6eU6YD2uhlq|}P;3X_`CZC}>qfF1}i=q2*;zR2; zwnnn+_tWmsrX73cvrdfidn(*e-zwfbVLp=kI8P|O4$a48z^FRYQ6zW{N)*pl*kA`}WJOd=w z=mr=W0zz&D6`~VsNEjOwhf449K;V?o2xdOuxCC@^JsRqsbOHQQrr6|U{=J(0`dBE( zPH$OQ!1v8zkd8d+5Jf>R!Rzyv4F4={22@b-+3qHQJEDLQM_62yzdiAI6yE%I+V&bHrX8hD>L4w3eYBANm-nh zZz58?UvXq}8dsWjFWj9=KnOT4riM-duORzxN0_R*_+UlR?lE-qG62dXS`Lx@YwV~+ z1(m2;5KlcKi7H)O77l>49W#Ub3LB|E=28T7+Rk^PiOk3OWt7t561ShF%K!QAraXqRu{D@lVhC@FxK25>B3!A8C9JL zvc;Tw1}|+824x<{;DaCwq4PI@uX+9D++~1u9~4l+uEq$KO(}wS zll~Z~#td6JB~&R$6Up3eK5k=FZ$kftyyTq2FjfDG?*lV9@Y=YmP2h0-r88?{a#`MC z>mAFO*fF!hrIRNkPS3K2`>s9zem_mOD@z#yGBv4E@E$P&F8(67z0OgWoU2)K?`n1# zsgZKlu>W^9@gq11@yBf7Sx*95X5a)6*n23W3_k95@cdDuEkyaZkSWVxqOWD4Th~%z z*VBt>got!yF_OAjCh7JZK=G^a}{h z`jbv{!|`3lhA1$y>S)&&X7lLtL8q6#U@2*$#b&qt!XeXBrfal;JOO3WfB>)Vxfgh^ zxAJ}oSH%i(i(ycL0jCTSglrULg?aU#ZT0RCa|symqQ}Pq%&!ixG18Iu8MX4S_-dMu z^?izve5u!$b(U|db+}!HGx$Yp{by~j;l>ArwMYHHb}}1{q$FL@Qee5qLxRS@PZmjm zQZ=b6T{$AQyM7f3qPspc3g=n#?lu@Ly&QlyF?*y6Zl%6^87^`BD;3I3)^Y7L84kRY zsxCqUfg&MaigQoH?xe%lW8Ca?Wq@j$eAo(XTwPybe#Fh2q>>A8+;cAR)8dXBzkx1{$q9i16mb1~S9AUylaUY|=~+i{=B z>p-L8hD8rOD)P2ZTqs5k4W!c}FmmN)9H!GnyWI1~eI8%F#Y^%_EyMQrEk*OAeEP!( zMR83ASuixykU`a=PTb?a>j2&^-of9LPhO0gZ7Z#RZ6IXKPX(H2h9E z=(4zMvAx^=Htalzj^wJ`TBBhxREqdQ_)%Jo9dnGO0KDMA06%yg>1z)+VR&wd5O6lQ zXDJi(Y?kn+Bd4_DUhG;`Mm%+E&>{T7veR{$)zr{VcH0*VcKt=Yb(HdZ+L`C5_u>d4 zQH|}Z0F%~{RkvMlH020vh>6Oh;50(=s2zCoMcK|IwZz*W1&q2k$?o?ZmIU9fyAuAQ zOS9=k2R!8hARY1jQ21J@N)nWo{Mq_8A;XJYs{!EYTfYbx02GMUtuqS=e4%i1M>?jC z8Zlr+?G{Q!2Td7CLYyTTfa$s&XTGS;SSIJNEgHMy~`d_4~H!A0vyFHjZoDfm=k4B{{my+Sg){g7(0wxlX=ml5!l@c7lyt|yNKv9qRr zq0l!~zh80pQkHrR_zne&*H_zee#m5+I{GQW`%Z2XYpCDiti+HBN4Skhg6-~H-vE|& zF9}gqm|2X^fa51UcL}obj1yA3HK@xAQNNv_sl?{k7$MJvjAWCJI#l-!dCzoQ&bd1Y zs$LJ7Zvx*2X!5(_1|;gX>^E@in#IWY4=I_a40uS*&0eTOX`zm*lcH#yuho-f@!!F9 zA)mRCAAwsh!aLNbf-GPU7~`JfK6bxENsd(V&uU)RNlEf)d%vC`57(!Oedl)=3NymQ z4*j+U`S~JV0GeHD`!WPn!l{$JQ6$nz(Iqu??$uJeAP&5H5^wc$$$gx&s}1k2jJX^OWx8CINY~UrNk1_(7eIZu zSdhHY*{(uuu`0r(UN!pjaRS1AJ<9g7l-&o&*HPTOzaMUY`t`^vD56IT3O{s$*_y%Q z@no+sMlAw$C)@_N0XdeD1ahUG~BD5o;j`AeZ50f{*to)k?KDn4w znLH^=1k`fk^U**K0Z^mBLu8+na53%w;p(g7qHemrRV<`ML0U->Bo~ktMG@GgyBih+ zq#G0@lx}t@sRe22M(J8=VUZT3Q%UJ}*6X^T=egg1{8-Fy=JffVGiNR>&I&Q^!#uDM z+#J5CxA255+i~|gz*Eq6#CPulJ}4L(FS}{rISQZyKS#3Os$MBZ31?+b%!xX`q9540 zZT$`9aLA>y9K(}$OaGsYbndt#hL zObnjMa1JGyqLusljep0!-4-Bpk2ckQFG!xlrc;#IR@^}Y$W$E69PW{UT(V5}IoKaF zl(cfY>CjJ&(k`nuZ^e-eIAER_a!`KU-egvdvTwPuyi{N1K(vxPem0)SJnpd!9@f*+ zFX@|FO{(v2tGePf;KLU8&fhJyX>Nk7TuCdYBSfzD3O!Rvo?8=Di7i* zzsK}kua~Fmbf|qPWRt>r&L#IGBZ*b5ptST?b@SO{g=1`yE<=Ur)jm3MzxfYra`N(7 z8vP2GDNNa%8tAIR(Fph+3sf>{M`AV@J~Ml-GMa8`xVLKb$h>dr^s!9xrZ?%~@+IzP zhF3?JD1Ta;opa(7ua~A}pSVX3Dx1MWVEn&gs8#hK{!`Apl0Cg-FC8nF2-gw7 zgh>1!UXW1v6v2>yAOT#{pAS1!V^jGCg5qr2LvLHWjoX-8aRBLniZ0 za9^wfU!a{LN@pQ<`mEC7X+r9?yZZ*~DNjmTW>?abw#Vg5FX={9bNdJZ@hSNwl2)tLm?pidB+P zn%^L%Z6Rd345cfW+{iKYog!R)7s#*`a^V{Urt0d={9y!y8EV_YEu;a-OZ}=saT2#z zk6g68>$_i4M-93|$rF~>YMabeo8HD-*t$8wk=jPcrfact+zpRF-PQ(2wZB#jf)V?r z4fNA2bZ@NV@{xhhW>cuadIjreYpV!5sf{#*UhQt!pkq-_jF|b$6S`bGX;0r&N`@KA z3%Z}DA3bMnR=nn$5~(SZBh#aU>#ADfg~#%tvCW~kBaD%E`k=}{*b8m6y)tp8<#8qu zcL;3f5(35P^Puc`kuERBahbtsCV*AyB7hW93+jub+J^ zTj6D>HGzkkmZ?S0V3)p~UEh{u8Ht zh{XlcXvhVS$ZLTwtShw34^7Tv)|6F0g2&eCpC@S=L~h+0ANLwkjke-8`zr32((C`# zOus;-n4VM+GE}$~yFD-5{EbplWp7L=_O57K^KIuk4DyrL1rrV;whq}HV z=GRl}vW~s6Nqo8GUZoMzVHP531(f37G{c(|iV+y3ck3T4=wU*yoUeV=%eXADqsGjs zSlfLsRl19${+Y42ZcOO|n%s49D-?U5=K0B6)&R#{@iTz{)Y1K96jfSWEQ%`72niln zD7N|h=hOd27W@QI^5g3RdWtCL7-X@5AqOYgUoE)F>ce2f>?8s$FBA3Npa^bydWD z4PHbh8mv3mmk$S9WXeZ+xz>7jQZd<*bekK=whT&nW4RWTpII8&ofqIaeX;9Nj89;; zR-;@WJXkk_tU^0^U9dTW>SwJQLXCRXy_LFLtF{;D9Z+?MFc| zn8JW`tJ?zSPz<5AFoPK;Oo0de34R+FxA;h5pnRZH?az$()tcD^0ci@VMRe_F1*&X> zh{WP++2qIdua6(`^0+9%W)%aHQOdS&W+69TJX;1cUf~hdVnU%qodxvYiEkHM;y~OH zLRDcK8yn!{-hce~G4C3NFv-H$R(|{hIEVK*Da9rCxtq+0r;K9wtP`zws#0q*`Ydu0 zFF%!3I?T+kDY**rTIJqgG2$KDP}YvIt`rr2#=ZPC#oMFj=d#8hE)`>>7uSRUMHBL) z%S+ReF4Z@Avo1s~PgAjk?xAGQ{nRG6a-Y`r_m@Xm7WoB6Ig=&8`Q|?Wvjb=M+7`sI z;^|*jK+x|4>dh^u`57WyevvUn23RoS^F7?|n=9%#6bWZ@-+%Y#DT5$`9X6dau5F78{~s+{G|mMOC(m9T7x4Q<#1MG;w!BAB)6E79zdequhhOm2&{E9 z8r_nk-YyK|qFEd!;ys~oJJhj$dB|%mvAV`{{R2%gRl@d@-MsLa``uGJp37Su;=sk{7hbvyuvQy8QUh8BkfT4 zQa!!#YKm8p27=X3fF)%dpf0!m6LW#zfA!Q1)18l2{pfNPBc+B8ek@Kz*E%g=w^}YM zxUZ<13B^do;vp?&=B*bO?_|QQ4Zwvj5D6+@#^}}5n=k&JWZneb2Lmg|PPp*F1SkyI zy;&Q~xL-xt>YMUmX$YMvJ0I_qk!b|>#1HSRQ`=nGWpk^tQ3B6t$5aqjNI&8AOpAAU z`ulwpoKC(b$~zgQt`Be~6rz^wGrYf0%$^T)T+~~z+_*TqPr#~y+D>YjmB7*gi%R(N zp%kX?j+ij(J>eWJ!J9svZAF#SzS@L`-#avaDLsGa<-f#)biGLsZ}8!!6;gtJJidfn zu|LNiX;Haz>qHP%%Y?Jc{Jex1J%>|QEpQH@M%h0{?a`Je3kwvZ$na&`=Dmg8vmY6b z^go$kJoCTC<5%WCk2DjaF$^u2_DPT`f-dOKO4wW12nw<#uhq{hr&(O@Yd1zppq}GI zi986tRqq~bb_3jtLf6#ZEfWn((R&{WiM?a{`i<}VN|^!Q5Bir!Sk*$WIczZ^Ep#l| z#tgsYvd48GF`c@>9bMeXsQ!l~gncerGY&C4b!h_i5y)IcEs>IPg`LPtyh)WNLfeA; z4*_-YjcKVnNg4N!`ug;M~_`b1w7g|aPo)v%56ql)Homq6R{SzYH@UX|tWj`N+j@ig6&<;{zzG8Ld$J(fbbTv22Z|X#EM}~U+{2li zde+2q{9{G@0!R+OTa~}|0`x- zKfzhQLBgAk$4c!n-sVPJf4R%`j2B%y$)z2?oRi@pPkgF7&R3nMk-%ix`0P;z!PTPD zt-2qudun#q^+!MZeX6Jqc`qmW{PT_<=GgP$k4bVmiW?dHHa8tZ$Z;XKECC?OkJD0{ zuRQck=&77H@!UuYG1RK;JpZ&yJUEtZd#FJDMty9OEYHcCyn` z@|vD#QpHbS&rNT3B5`&^=r7TKX1z(!%U1xKLE*V zImt2`YTs6>9WUW2%vs2q^;gZVppIrE#VZfz>0*Y$)NO5oZr8+?oc~BB)VVbd={)(|B8pzL*B1mj!nhZl!q%BGf<@9pXjR3r$YK)A~Qe5xJL zUxhjf;oOSNh^k?`fuXREwjU$vY|CY0r8JL%AMf7Bkpl-d911rah z`iDiUmCAq8ls_u6Sf2XxK@CC8Q`=YTGoUsu?K`qMC}GG48$0%rlL%!>OW496EjD@R zp|?IzJ+9!QuVsm&fnz2HQZHUvy-M8e+|mD8$#and&++$Aiu(TIK5TT`&va9zu81tX z=i|qKKR1bfwR8ngc14}O_)2@1egP|Qj9g9je@4Ha{0v?w?6Z7#x4K25*nsx8vRF%v zb7OJ&n0D?V;{y?39XNn;$;iW7ZTsj`T^$t@P1a-(a(^y{6r!R;=E#1OhlL?as81q&{0%&PEFSQ0w8>!C9-p%c6 z@}L>8i7>etoxAYzM~MDUv2KXVS|X8y6xsz{t1CmRue2_zC>!8uKVn?wst=*WzKi}3 z(36G|WhQty^x>`yO8cbA>`AyFIsBNI!A_H-YjGj1`jQ+-XwtWKZmKFxBC0? z?yzi@I{$S}Yby}+rr~38$6kg=XRl*Tsr7@3u`SS$MNKCP!!(VP5A4IpGG)z0x}*8q z8S2Hw{oD%5%x8j}p2?@4K2rw(%3R7)8@dT}6Ax(7Gr!#HVIMJkUQ5Vd6r*kL_d5z^ z#Z^ehw7lImAhBi7#-$}6qWNa=7bPDoc7@+d{fs~VhB;B^)Xuq(h^(v6UXizE`qSCH zY!cvYd0-2jknj?kpC&Iacf1eN<1M< z(XRQ3s}TR_nd3G?vi@%T0H0$1$u%vbu&2Tbp;rkEN4fPhu{=zMI<+k@-b1j(*dupB zh{qn_EGEMnj5L%}l1f^=MF3VSCK^D{3z@4Dp(|>o(!a`nu&^PAaemk_CE5P4<@mih zlK+;5ppQ@D|8#U56S%!{EnRH1pD8gwy$?Q;7rrHMMH5ZYAy?$PjTZW_3iw5P!i-F`1 zgwpEkM>)L~TBrlz*Pp;p@Fi|NkE(sH|I~{=cpQd`{yIOTkFK0-gG^x}f*C^~Gw*u& zheu{gq2x{WC;(W@hiC#my4t=ctr~GSpi{{EB}7%>@I4yWnnm?HX(_X{`jpqwZQWkQ z_S!op(**jRRMjO1P}dhl)(2Y! z6^qiUZ-stL2#Y9;nE+a`c$!6;0cYR8Pak*C5@*((|5*G(d3AVm@@)$rY+Y715q^A6 zQzD+&5|rCMi}hL%?KqWND2QSpX>4O2KN4^RW1fOgem)=yUzriL^d9ftL$cl?%~v_t zH%2~_713Y#g3|Oerth@z!$-?qbyBl%&#;-r{DClH`gBWoN;J1`|1FwY+(Bu(%@KEl z=MHAJ_HH|5?Alq0>QOaC zuZps1=kTF_EvtgtZM}W{DgDcv)@=Qlq=n;}GUF;UAA{yp&Fd;|##634E;eos_i7lbeREsST?!#ShK- zeKCb)VpZ1Hv25Wee3o4JOJgLq`H_)Tuth&=^`vhY=ONmVtnLr?<^AW5EpQD8Qc&{p zs7f7pNwE{J-hh;mKrUzoRW;q@(_)wk?}y~}8+xE}0{+ogCSLTe+bh=0T5xe3Mx3s; zhu!$7UJ1K2{kz27OR~PjhRA=z=u`gB*|rAahq@V(6VrE5s|8`&9P0sv*^c6(joUNb z!=I;Wzu4UV$%s&CXwUfKjds_uKI300eOvQYgbrgH=ujD~o*`y4OW6(X(Ln19p7g)i zWFSpvCXV>9d4KYr*bjW(i7;yL)(rK%_WL|q&u+iE-p9;)FN~DFl!*S<+i$Y8li*&c ziTwBF8Pf6P*2Y=||9e+i59NJZwB4p%B-2kmCg|jBt<8+K&P+7!H>_(LB+sbZH>&H$ z9A>Q%QJEfTK>3YPwWq&?XcYCs`}Y=4rf0{UwPrS4Y#;E|!!V^;Scs}%tajJky9gv< zzIdGgcSnl>WB01T*SMTx&WI6q#*J7hA5Cie5rjn?5sxaM+3eI+o*S4ph|~+OLbylH ztGxY@^eZbZf4BFwjGNUQ-EzVd{Qn*3D_y%Ngew z&%WkClX9%?s=kGrAf>C4mNM)Gn>++4uuZ3lzcCyt$Dksu`fk`7iYYW5=SqcBL-_G! zS+*#w7!RJ7NpnORI5+5y&!6U7d}@zgh{60;hgB|`1`0&1Q)9y399kBy3ZZjG!x?;h z$iLE#^Cww|KzIKv3*FDq>O^AUBZb4^y2Po~#U=Ni7{-Pg_|WL5gL$U{liNDNy@Hin zlDnyXL@}KjY5Uv_sdIN94$)a%@A)B!UWw1+pt@NN@7XzqB%G{c?z>XEpb!zs_dG9G za!@&Z0DtP{PUpt8G$;?0_$+~6FRo$rBJ5wHWnsGYG{F<|zrKpYHJb zw#3bcSd;4WKll3+*$bib5M&UGga-+tL&{ypsJ2{VgKOQSF3xmg>OkIf5 z?7C+tQYOOf_-PBW=L&lv0zQ=6e@572KJWAu+7XC2baE0tPw3?->U$;{$%!>jV@H`#sTj5Y3VdQ!n?q~id(emn77p4K7Bk<5qQSdKYfz^$~ zLYtAZRKL*f0+`2c4s9Pk?G?ysZfK-Crq0(GH57|qi|>>&C0ukqPHY5V?IcPTOK zX1+Gi-$2a%ktEYFz-e9L+4=G{dCZ=p@uZ2?INUcnj0?mXCH$|cv zpYRc^_hen8q3va{DbRm6sgI&stdih2LK0{Sq@4dGxwO=k_6>qDMs`ZnU&hZFIUK@v zUjyU4y_ZL;XUvhi8UiOIZY!s=^rJpbszmVn=wZk#`o=*0a`NFD-?$Vz&{&-0#cp=S zM8Wi+njMcu7ONDLa3O~-BQg`=D>mIN96kH|V9HTgYwNhDNbR;c<>Ko3LFP2u4mtB~ zeD|$^W?!jfw9h97hhC}cd2K+G@hsG;g)b;IN*~~%KJROjW1O>N1l73>An7HZkE}T| zD3p8S+W#b@Ol?`_M&3D@$&ADK(ANs6XHKgb?q8iWICl4RSWI~PAFDLi=jRy8IOCEGZ(o*i& z1MNQD@$9oF)5}R5$s-oeRy(lARm9(-+aAkU8~QOPH@{vIk7L-5t9)c>P)eebFSxtQ zR!_+PTH?)&rGVKPI&=tOA#>d|&Xo+xSWL6ooNDqxVm%T*KcN<-u7)*6GIc>-gtj>Y z<^`_kR&C3ZH;0-aiDP$Sw4Y;~^Bm+XM-;xvLIKo4cN`+lHz5#Dw z`e=WLj95w197=MWY*kC7XWkgFq3<~y=Gc`ZPCY5O$la^CBP(iN%Q$A*EosyJGQCxs z{4~;y>6WGiRMoYAC+I*X_s$K0rl<6Dd))ZEL4ESBg|1ViF&sAS+lSAXCIEYg2bH^2?8iQ-1*ZK6cxL;#9wTFI9Z2P^sWt)EL6PIavH<`q}@{U9K#H$kl z7~4VG`9xi@tKa$JtTyFhdqt*P{aFUdMQd}|F%v_<;j_A{$UuGF*;!gFq`N8>wx1G+(>uhNUVBjb5`0di!uIZR!OQ+I7)O!+|d9h|ivqT5vL zyD4{*LSmNNsydRIoGZYYc29JhnHmFmb>4@3V5k{)dN-KsSdrO^Wk)eqPVGhf7nLeE z_sokOVk9bN{G93Su40^5^PZ01Zs7j>s`?p9*#1Q;M{|Aj{VmO=!lAt#N&_@!R@{Zi zL>_9@efC+m|6MQ$kS}UPf+TEo*Bp}$3c{D+C zfh{l7=~P>M(a`scslt0ELjHPIGV0DxE?wsz<9N%ah6Yp|bpGVd;^p5UXJe8dWs+an z4K{}-JwYw(T~Ilk^0F-!V!q&A2FoQ@c{eUqa?F*gzo_7nbL-7*K-R)A%(bm!%pWv+ zuW@1atc5*R5+AaBTpK_YE=1STs90z?xM%utdpVd<81V1({1ikFib^HfB`@s+B{tW9 z1A^x1lMmb#wgyjJzFG5BmJa_;utr8~RR#|n&Zdr{mjv#)e#sl(t(tz}JX(N=qkCu@ z6fGVAqE05F%^T+p!8-vuVcuc~7xx$zz2$7IGhn;gk)7tPAKrwS+OSD?tpvn*JIDJslhk$Y}fvz6r)_oub@7_UqWC*bs?x#Q~w9h z^3%Z=!7q<$N^9YDn|)^Uu4fWXd!IXJEh|y0#j3j2AjWd{c<$91el-JJ(>VZV(6C#V zq?p=Yy4IGM9JdS0A@&|xoYbk126<(X}sdJ&|dur6Afp~*K1#k4$52DdgS zxi-OpTCYK4m0;zsFUATC8*dYjUJk8FBN}n@-6c);blyGNGfF*kF&lgF+;>hfcqbQpRGg+-hQoE-NW9*L>*hFWt})~T(t04?|hZ=T<(#KBLk6hgXoXEB2qCFh9 z{sRKr4iqpRgmA1ydOM0s<)O3>Rb&RY^5H=%c9vb~y6h!FkIw&eEtsSm9QDXb{r<=r z`wnTa+0(Ck-|IRC8VsjD+H|?6T;aQ?Npbm-W0E73AKXqeyBOmzti4SgT(p<^pnv?w zY`d}b(c;PJWF)mUa^m!wi{-XY;h^#dJzj+Wo=cL&ptgMAghf2}nPPoT!$Z+Gyn8JY zJe5;^4s8%0KCvbv-JS;(wtWZ@Bcv0@8p*|yr~kdIq$MXAQGZuW7{Bx(GQqd9@cRVU z#nchDdX4|fDveMI^W6hHz@8usZw9_DDU6KY#pRmQ?IkT^v*? zJ;-_`OJMlNw5KO0J8rz;QQWD4KC^Ale9k*fb;)5wy{W%MyY9BmtKVCptONyBLY8YE zeK9He27W&@8}a88Kf>)KhRG`Gt&tDvbhCQ>HaWzc4DAh=(T4KF&{9GTD`k~}3@smICcH!eZe7+1$^yM*;! zi51L)>&Tr9rVyDI`SBHseS{x7571-c?pDe7WW)P)G{9^zdw(UE6DE{Ss(#i%(_n84 zLy7&anSiaD#7{@6W^4*n=fejf+dcAF|N3Zg@*&8yKf($VR-BRmZ~D{i0%(Jv8yU z#8TSri}~sCY=^KMX_Mo;^Tq3niIZac56$$ob3 z3c6)A5H5_Q7hZGY=P!gG-?Xqxvanig!;L+}Fb7RTC^s8RPutAjhCsC?K&ridn6?fh zJ&d4%ppnnPDKFG`(VwPsALm6I#C+q(ZLsogoNTu6NCoRLd9i}q5jQO&5Ee@RS&#?T z#fwS#QsH}8I|CdXxHkpXC_qtF)C*Lcjyart7!NQU)vH`i@k4KIeJ~9|z^~u#(-VL_ z|IY%r9c?u#xyqH~f<)Bt^)J4ggD$@S@*N7d5F;j65mkp5gnW4m$+p z;YF7+iYYXI6N*ZKM@GRa9>a%jP1ggjaduJRa>kc+@PyHAkx4)+HG3NWC5*skme7FX~%FPLV0rb;pwtAJu7Xb!W^1!ZO-ly=QS9A8!41260 z^$fHSv_KJ#4GYU2b9IwH<*p0mKT+EhSzb8_GeHi2_xt28@YuQvpP!>_lcFolAqDR0 z<~BAu`hhni{M|g8d6J7`}FhK?=}%Jbs3?=MdIXo4G0+HCkOT!Ev= z-m_T<*x1^-940Hb(OY;f)gm7c;j?t24!@4RTP6qy&&0n|Yu56s;D^1i2!iLN&0?g5 z@$gZNc1d8erV+C3SMt&p!QD>WiYn^Oa0UG`mr1X&+wZNB9EX1N74?rCmZmveea&3h z$DQ>if2^8MZdRydT-3xorh8#QIL>c?qS6+ZZ45xXk7-r85;g0U+6Z3MK=Lu zLZ$xokXPq`mIe)#Td6kqCVStdFojbm9>8U_A>67x#ZRGu#>T3>RUjMlI~_O}+i7@?QR~l6UIN27*VonvuaVLLO+soQ5-tD(wyBN%IHQH;%-t+|=g@ z>k|bJ{bbAEv^u0)m?Q?&@e0mP|2St0-MG%Lxe;021!eSN1-6UB5;O$f?%UehdIP|@ zztl7qLVIxQX-&9N-Df1f0p<4_!alawhmmG=DpW|$ByOK`0#eKhvsxXpeLSZ3j*l4a zoT7Gmc z#p@!EU2A_O+hXT2%Xi1cVxGzZ=vDO-RaYvZ#uhtC8~tR(-nGPwOxDCPf~r&(p;%@K z+l6%N7*eOcOaDRuC7!^JItGeuQFG(+a25!sS@f&vEUJuxXkjFMh zJ=wkUsp+!Zp_4&DE)Mhan_C8^X%C015?@c`PlY#5P`;K}d^4Ob$)l_kDQa148ym4R zE5LRhV_W@07RoP<8b2;Dz`2i%J2*JlEP081cOBd4%i`r;Vbr?m7C{C;0yxc-#3$38 z7M|ryx^x}k#-tkem%bm#JzdU`_)i+3aZ(a@wu|-D4j}(FYHsQ_k8Tlw)Q}^8yS|a+i$&yTv>y~c}wr_m) zmVN-@w7-ss2MuN?waa+@Zhat)2y){?SOs9`T_ogM9my>Zou8kxpKE@8JSMyBL$2HT&)Q0UlC>0G z_{jb0&O?xT0V86BthGdn)=|){UJmIZUj&_vdYYrY!UbBxJGsBhg+kPHknCOSC%JKo z`W~ER)e!=m!SNexXy;+Kp7y%z;iIYaKV|(B&L5N)~$;gN-(6@Qp+FCiiRw2 ztmwSSzHy&168OQ^7cRXMTzE;lJb(8?B-;e90BR^K4xmdNt-ZREw@jnMF*e+O3LG$Dzf9s`YpZ;8$lFr^5`!>V5p%M)$U zr5lzN=TtTF&+IUlUkuLE(U7>k`Z>HjQPeTq%a#iv7LmGm@9)?0-O=zj>`Hd9fHwS;5%>2z_r3rL9!IU`6s) z*yk^^ALzm`kC}#8x?FELO;#F)2D0=+i0Ck6e3%ex?umOQdbI*3Awy`LH4?YbMFGhM z5YPsJ#|D}T7TW3GhI|K85M#aSXEqwLxy%eB=2BOYi^`L($(L4ZB;Lt3 zn(H3FD{<|QO$f*u*mFlA(+ak9q`kC7-M9E0N~{+>pR8g&rqy+^j|umbX}Nt9uY*oe z>x`Vt=qe6-qDA($u7LUp7v}ZWBjG7LQnE=1181H-;Q!Z@8@wQh8CJCQ%00NJ%wN7qY`+0A#7da7^u4xHOrG#v6u?VR zt&qdC?Q2Ry63}{!?bWvwolIC<=Ul2iPzZj5utEhMm|+aD-gSz) zTBhHXb*u;c(3X!yXw~=|t2912T*fe$VIh_fx4R5mKtZ$cP#za46PeG&|3&3ZkjtKz z)%H*xd97jr8iLz&iT1;qNH}fVYdx9S-?1MFMSC{(P>7`*SFuQI2~}fsn$z*mcJXEZ z8I#{lPt7mX4wEX59BVIFjcSCZd;&CxnclY#nBY7Vs(2oJh0FuoZiZ9PZ(ROcFMYs{ zT6KN+oC;C(iM1l2X0ccAc~CoKI^T-%13Trov?g8t!(Muy;CscciwBskhyl872m3lof8j}S+&L`P#Y|c=BA&`>tSd~l5%{}C zhra7jU+ndJ5>F(8iF0|iE+RQ6GC@$+wTrE~s&8ieVZBXw1zJtJJwl(`vmfU(y__K> z)cBTl$4C*gp-C{E1C((j!shf=y$0ZQq_0%CX3 z4RC9jJ7iwxeTSH*DcUD}P4fT9 z2`}tb9o)L{sn^$KKOV~!!=O4O3yNyqUD?L_0hB+lW+=3}BG};RXThGO_fr7h zNQ2w0KYB8VqiYYCNtZ@70Gr%(`$smCSQoP4b<>@Lq&^U7z7TurI;aN1;!_S*QkG z=OEbjz&JB_!IQ6f#_(sL;J!;Vc^h$-ffF~h?Fs&j6l32sDnwPfeEhXjem#lxw_!EZ zNyl_K1uGA2dNUCMQ&@EDfMh+i{uI!D@3*J3 zpwbB;gDxIW2iv`5i(ZzuAx6ln(cg+ zTafmj9|?ggz&BDx9r5oo{^SKaN0vgJ#>NdU-7R7+7X5CVma&?;UD>G>Dp;Ky<}y%o zN~q|O8VtAC6rb=^@iS|1Jgjvl@rmqwKJ*T&@p1VE(Qv0fEXg9~3g#*__P!%G5A0Pe z9DJ5J`<2Tx8m_ZG3oL2k+i+@xh{GoS=JW;YMZVt5MYZpzT$ojnF7~U<^|9%k|!%! z87UjQr11{Ju#*>fWCzwPXEgyQp{sIy6+{Mtj7$o*9Q1N|!@T{Z1VtWsw3Mo6RL>x?lvcDvzmtp0F|mxqihZe^1` zB}BGlIP&}gc!TVI>cB?*RnlnSh5_-vLcZw{T?y3ct~t%TTR)IPOMrn`s_N$I9iO@Zhx%epODUh4TX?8ieY+DtdidF^Xz~qbBRoOK+`Y$!#M$gQmDE`N zcEzcOMpw{)pquS=pFUYV1EyZY4{PP)YYjMhu_emKnf%R$2+x+SmXg)S zT=iOGdzsSd%~o$Hzw$fy6(GvBlu4{f1@CIKL^_6%sEnY#o4yBY@xr~ZUEZX|+RcWr;xf6CqBW zMOl_OrnayhL(8`cR=v)NNu$32Z{y#U@D*bG9me026~BflpQk+cM-1j##ug(CY;0Bn zB62NNePxnzEiYrBCLwSM^~2E$alacOU3fVD!L(3Er@@Vv9_gIuI(=fIGli2h_&RDD zgs89JS@K73Qr&ZVr@;T*vOpO7xslsZ+$z7U12dtf+!K(J zeH8;$GeUy0v-H-Bbolv3+Op&d2z-()Y-B__Vk)RHryd41uZMZ{9@$d)poG2M=Dr}f z(B4(zct3j{Dnur0lkw)BG`*iuJ`_tz|ANNvzJ`HnfE{a}jE+o$9skEr5Z1#x3LXfX zBDZ$O`>aqWgFJN>o~y<+i|s&7`hZpXkFtOmruBomT@vae)KZ!t6-Rsp%nxTTGdhA18Km0a!nUB_Ai<}duwXa}UV|?}gn%@&NV!BMQW!{5{zl+v&)WAEbF-$U~onSt-uG!CFVV zb{=D9We}@Nnst@7g3ZHp$6J3m)vgP&F-Lp+yS5GRcOXW1al0G~*))-kxUy={bHJ|N z&lB+cSB_Ur{cEcl(;E?bqX0$&7!R<%H~sHBasv9&W1PPy+>I9Sj^f7l)1*Ywzf zR9J}YEF_L3LACXZ2a)XgR$2`Q3lrIDe~lyf(N`K;sM@nLgG=$bE~wi49HycGi2|=W z$Bnkkr?M5G)yyHjA7W1TOi|`;=Ev0K2BiAbF;}jR?TU+r3{?9Fipg{S z`F-EU3MAx?zOvzdC%31+SbQ4d#W@ZC`!^X+ekQZzle@}IHiE0l8A5^x;9`j>6y5qG6VILgoISy@swP!vGFkU7w>Rn`M(3=&i;-Io!W_Tjfj65rk7Ge^Wd zKg>(yguznuK(bX_zU|%MiZLwJ`@Eimz|6xSgV@}M}!HfX#t6N1= z7akTb$9&@l%=Tm~)vRC`v%z?J6jk1i3;05N;%kv&+d=`9R*PSc9e+44q0k5SoGq$} zpX{P_iuU{s!VlQ*nEn-K-okfq|Js{<+KrO@S@y%?&p9+7x%%E|ks^dS^$*^V+YG3B z>RB8wGo<+ZOE^cHtIrxOS1au3@O_-u>zs9C{r~W~s~`BCXUq!H-7il(47l=b*A&i5 z_C|j$-ihqbdlQ!*@hfU{Uxl6< z<>Gu1?2EBPo?Cv_sUQ)nxBoK9yNOZMmi0)*HhTeJ6(|>}^k6Bp{CA(gy*a2M^>>Ko z#)TMv;59xbUdq-!4n7m4irVni0UnrAAQvfu7bfE&F<>k4OHKJGBC^k^xMu&YUEOb;oQjAoKbv8p;zW()`>v-1 z+rd#qx|~F(EYzT`ar4>y#p&$D;S8JpC8_At)=0%LAj0=P`BodE>=x|m z?7vL8)t+z?H=J#brj^HT z>M56Ry3L;3?9RE7~>yWr8lMTfjNr`v!j2hQ@Dy8?=Pta<&c{PzUM% zKeU)9=*0@AT`YnAyj~u34c486zyFMgN}lI^gh2 z$329F%TF1@*{eJ<2ZSgp`~ThUgC-@7=|6LU$(NP@@au2`2TtM)Z0((S0$h8qUJbsH z0{j1eLL2JY?K=E_4+y02VAJMrwPwoYFJVaywaQSxq08W$3E-mke=QoSDb#c_3jPI` zSO32LzuyBzyItJh^Ps=~*TMczOX6RWEzdN8C*Pt#|KC;KnCITcbNN9SzthYAG$8{c z`nT{vD$NyWj?6vZyzzIztXarx-7VZt_33-v0dhq~GfzOEA=vOcnBkxNxPvAEZs)Q7 z2#0b4Bmw*n+IfOqO~Hoq5=j88G5ii$O36v`4wvudTX&?10-G^}h}3Yvpt5>1FQd&B$qyo|~bPXXPEv-nyP(!D5hjjDZ z1NZv5_xV2m!E=7_C3DW%d+inPwf5TU>>b(I(D&FrE98P7CQy&NOjn*4RL2+(XYj~4 zFbz_M57#%{Sh2N_J8XA03W%{H(o4Mx#!v@wvuPadh(8y=-+GtyHEUwPh>z>#vApP( zv1)my%F~x4&g;(Kgm@iF4U{xipKivvSgyIFq?&x0$QWjGjQe=yVM;BV9q?9ASw*}` zM-?!~f?_c%%7l{8KDrL@;D2i5FO12~c3Z$Kk)>4Ui1LUfL}YzKYZW}Uq5e1>)D_1>U0(BNCUyruuhM&LVTegY2#2k` zS7R*|K;9(3afWrkBILBeX@*T?a5dmPA6tn!CnXk~G+E>seJa-%z1fwX#;8~F+N)h( z$+@+kI$Cj=8YBs~dP($?kf^XouHlBh&Babwo~^pej8}=HJ#()fGGjY0#7bvBM0=C_mHGUmh8H|AmJWsqWSm82 zGh-pILzh2OLK-1!NlEKGH;F}C8!YS$68|UUS76UazJBfoYYCrw$#OD22=8`%t`81) zWc^F*y$~0q*Y`Kb=t>=p{}WL7XST1nxjfZ3<>z|qYyYWz6TuHZ0xS|Ldm=`SptrcT z@nZN{_mI@}NIvn{Au4}_W5C;9Vw;Buk+;aHO>T#pqW_r9-sy6Tkw*kQAmbOqaX?&9KNR`+49kpoY!lbc`<-h!nqz4`V1+Vbp{ z2z&2``st;##zhA8>}6jEpHb!GSIL_`GaRJz3aC1H7!db$$oECeHot8-tCCax#*NL| z>`EQ~?7t95svi)Uc6@-7IJvasD8KttPdpLSjz&#fcJC>YH3~m%n3t#@muccH`kKvq z>EW`rF48huDdkV3<)`($yaQ8GGxS4fIMm$nKqz82BRSk%i&0t0N5oTMkAu__-BOKW z^87UZnIjvWZR+UM#3dcX<-Mont5HZA71aLdVP- zYI7%MJKXOOqD%R1O{B@(Y!rDn)n7IfafpWTJy<%~aCphRP@FHoZ#yTxozg(A!$V3_&3f0CrwHc4;VdMBFRlUjF&uKlgq5eC>sq5$ZaoZ3d!hS>5V7IQSL{9J+u$v zU*W}(MWN~-$I7xlARjw!T_n8Iz4O|MZXFcdv4!143xrJ+cpjhwwoJ1Z9$RW&mpu~W zDK?UvdN~VmKw5Nm*D9KLH54VFpzbZKxunb_PeAdQ=chR!jphuYPC)}Q@iFfV62(H> zLE9tSB6J}6W?R6^Fq`SvPdGJ*4dqbiC!RCjgXz^G3IPS&&=rbe@=9&9!GwLhw~(ZT z*nXkZ%fnZa?;Pzt_nl=K6b=CPYc`Rp8Y!<<2pN!h`BdEuu9R}OEy^C+EMJr-b@=Op z8Cnn5xJ~3|F}2}%s+4j68>eQ??&LZ=$cJ25%FZ(6Of|g`R#B)&GanG1i7}|XGI_Hq zy_n|T`~4Gu_f{APZ?4?hro?WON-rhv!{UgjYRGH-TRm-Ihc8kd^yySo5&Mvwk>UUZ zQDtS(@!8OHmsjlf!N}MAg!C^V{`mcGh%N_^FU8HF;zltB3F&Db^W_?(eUQ5nZNuYi zNK|g~SCmv?!GP|j${$(8_B#KZd$$$gLG_sdDpn5 zN?x-+`I{c4@~^9XfL;SiA7@(DDl0iGy_3mk`yt6yFigu~nq*HNYJYt~R56{I@HiN28Uxq4o}8|D_dpfGn%Zs3?xq(j zs2eZTY_S+KnfYoQdQOQnH>N#08TWda1}YRy3eH4#P3sb7#0AmBbzP(KX0Xdgp5p1a zQSYUu;m#XBiF3==bw2hx9#1~cO&6Z$#yTMYgh069T3bjvo_AU1gmViXu(TN4nT8L~;G_0s72}vi0(m=f>sZ z2yok2!P}xPs6g1n(+p2f+U^|5Uetmk{pGF|vp36OltW?l9pfa;@w6=x{jL|kbVVA8 zne|ksvM^+pU%7H_vt@{vsVaR{{D1~z)lG$sM{Fj8PLBBf*Dht7hO9PId<{)sh<>uQ z*e0-&#LhIShH2~Q@S#13Zfk2B8yl-RJzlHjM%Qxxg)`uBhY@oVmdT?fujke^EYPdL z3iK(TS9$k=@TDF>sg_ttg41tFV;!Ql+cP8Ac|T72TgrPJdm!kV-t(b*{D=5fU%hn2 z_+-;ck7*ad+h*sBCj^e_%n_5O>XxfUU5s}n48V89Mw2LU!#=RL^vtp1hxVIsu@XVl z;xAv;k{lC3e_PN&iN6g)7^(SO5YE4#h4RPwOf}5ct;)CeMIn z@pUolufRfXgVQsvOUzIF^f@1~ULAX{yTF zmHK420g@A%1-|e${1!-87|~est)XyfxucfmGT%+u!`bC_B+sb$1P zy&}2}l7sbhdQj^T?>ob8Kkk6QDwhxD=POQXgVzqZJ0};;M^m+6t>@}UDd>juo~S}r zGng+Et%p1rdbICa`Y1hMVy=!jID%iL z>LJH@4_$L@Wep#ScMF41LTA z@|8|c<|~8eVGy9_pLYvk`_35yC7URTv~Rne01 z4^(w?0HQ;N^ZnL?m{}D04R2LWbaWD`M?tHWRW7BHj9>fRZbF<8TK-#7C7#{j$NN5RdqclOHg*)YrAoCX41C>)lftV99(Inw~!|py^-UG$;Bcamke1 zamBjvs>bDEM$3NwiE>?dsG zA74vS%DY#EtatP83n4bV=jgMWz6W00%%5#&_CO%1qnO@>A__*gg|G3{L+;X?D!kPb z_DNy;G3vbY_=^FTHC@3nujU!VWq5()P=o(u;a?=2eBGjpsgee=p_Rvz-}I!ovl6u% znd4_ELKHy2l+F>*VN$_zWVx~Lx{MXT+YC)~N=G3mkBK&~ z(F=+)JM2L%YCM*D7XTs=Qo!pVp)EJ$2yng=V57t`knP}$W;&c_e}Kx|>r(eCCc4p2 z+8iTAO|JnZ-(-YoT+U4ENV{xbd*7&;mqr?0PzX&q9d_*-HgwQYVMCa zK4Ny`@L*0*;etKA`&Mj*IlLgkrYpe^?_wSYIC(*P?AggT)qFA>URqWf?5u%r`i2Z| z*p$wT#2Z+kv!;)l?K^9aLIoO{zP6YXhv(?`0LkRG`##O$tzutzd^n#fH~Ri+<+KcP z1CPxxVK0rU)ws$}^v1Fj0~Yr!FJbCxK0G7kgkb<=@9?3$^2Kemajl@LVcC2zq@EKW9^y)k{GRStMVWJl2I%?77dDqbj^mzC&j~9$YXYX9-vBApuxJrc z&H8O1{}VFB=Hywtk}Ym5lo=+csUL721F1HVk}yWh#Zlt8)0_GPFNOMwwaL#*_V9d{lE0JX*lsfAWKNsnUKZ0W_OHxD z4p?KZq1Ac+ILUeQw=2$G5;r&-6XY~?-7pNhNlWBnW(x+|&0?6IyIJ4)Ge)7IadOV$ zK^lcUxL~)Bp10?YD-oQb7rP4HJ~tN-m{~0jFRwpbf+@YPlZuxqS(-|S9>!AjRmN1%({g)=_X)9Sn;)QRuyCBPBEPTpo;a-xrvu-1ZAu|b#TrokSs zFZ!d%5)E0AOmiO)s(94}E$Z)7-;<19#2=s{q4{fa6V@BrtRPdnH*YUC3rV^`Xgy9ETm z+rt75z#zvR-FLe7AO@}*k@@yxEOC6jv!kl$?FIJyLI3QR+7!9N2DaurI3ze{oo;wJ zSQ;W$TyWO83BVB^AW@1!R3TbwRwR=?!o!n`J5|?h(zQ3nA-JUqXR7n~GZ98hCt|4Y z3XTZ95grO*dYMUduU*PhMw5>nfm!5096J4Mm z%bgA?s1YBhjt1GvJux>-#os@|TD=A6y*`4GdI8rxLH*orLG4Kt+;oufr}x_kM1jn$ zR?}&kFcsy;&4d4dFl>)M(pWzH)EC zM+Ixejbx9}wubra|D_MCdS!2UNmNzC2Oxvn-f&(d*JhFE_|#3X#O`_jIl=ymrt*R) z(b5aQm@fhKqmG#cD6s5afM8P5A}tuUh@S!0bm4Jd*miWMO#L=?yM3OD%b5Xzr_$^ zYgAsTFHMOHmqJ)X3#YPJD6~n3Kfob)Hf*(V zR#@!Irfz_#s6*yL0yEUb_~1I$Plz&KIgO7oL7pD8^(+Gj_k5v+z9Ki@mYiy=GCxi#B5TsGZ;jhlJYw&78>WncaT^AduSSB;z1_(< zVN0+=J-2=z5utbZ`-0>sA)4f!HHs>6{70`(6JAUejZoQ$=gYpgp{p`!8T|ywm8uCRtUWM0-@EJE3(qh9O zv4@;!6F!=6?%hK_L({$1kJu#8mp+KtRi9*54tdtI#jkTHCuRL+n49J|$}OQJ9TENk z`K@*vz(5q!)pCSC&Ea$%@QU?4;+7F+GSZv7!Y-j>X}q;5P-+b1Y)IjPc%UNuThi#G z@p0SjS={tOVSbQ2y&y1UNgOju!xku2IO2Yc*@$UqZo#4ln7+Xn3#SM4?>3%Ijgd@ZO0> ziWGYENBKZf#FY*2p|(i8bjV42|IH!=CTu8IcBAPJvac zTQO;PaiT|~5`-~goG>|i5s*>+MGj8#$iZK+8?4UVt#SLMC0YF{-NbE0X=Udr-vL7q zi?s|zQN_HOob~4>CKb-FMRw7M1Fbk~mcI~mzGfQ(kctD4i^u8zN(Wpi0ze84^%K&* z1-($~_vMn%cVf6a!)#HgcG|r;CYEGfq-?c1IdCO)!e>iqAZ&N_wsYzSU7xIfPx`!- zPiL34D~L-a#ZXMq3JW#z4MPhu#1A+xYHNQn35{mbwp>Mb!$|?Kn-c$k6qcX&je{wA zLJ!UjSQ;HC5WKTfMqAa_Yd@ADa*D#TPbwU&oXFN* zr9RZjUpMY@DIa`F1je9?^i4<_bwyb83)axs(aq%^HzAq!6LVK*uE_eLGDj8lko=7J zv7(Z~&z7w3U-L&TbjHktMuJE|FXn6=77R>8w4%ydu77wOUobc&&(ZJRe&X2mu68~je&5IH|7!L+w0^F=wB`yEiA61g!uMpX|aBxEL|QLr_Wv`a}8ckqxbMd*{aI`A|s@ zEQq21kS zyRh`ery%bzj@f`Vi7mtQvE>~<1pF?~`j+E<6%8XwiX_`)gd`f#eRpo`p_%lVtia49 zP#84xo#z9brrsh(74WOHn%eGBmlVI;1H<;@yMjCMoQA&qT$t$vaWsQcw_t)O7bcZ0 z@c*K`72lGU(0Sfe0d|XwciRHX5faEPkP3r zNfMpuJ$8)>tfEgEy@VE4FHJ`Ne3V!)Q_Rni+qsUGQWE95PH|H?BCPjO@{@p&r_47$ z4cokgBaMVD8_^;EaPp6~dD~TdtzO#Z@X^LaBu?vpu^MmVu;@}z(hKy9?{45uXi7?& z36%O73@6u=Noj*D_{W%&$k6_m3}EvCZz6;9M|~_pZc~@-u^aKMNTiXgQ-?Q+_{TP2 zxWM6!euw4}*tFL&uv*N}As7Haj1gQ+5yTTwZKppFo@#QyRHagRcu6j9PyiOn8mixC zUp+N1%=i4?Mp5DcA{2?-nt+4?5hv37Es1ENh&h2W;4V4`Dv3BsaB01jfhDR}l z7r@&Ob@pFzsgzIlQHagGn?7~wDS{z|%(^zN{&9sru_Yn^k4K@KM{~Q4xaq=2Cdzsx zBar523+w@bR+u7qEx?Dq3i>Gc;?C2Uk0!aNzb&xAq_^y?lc#*65T)V2xo{JOC_|9+ z*u@`?9{>Ki<2_|H_({5{Z=TB}DH4G)|56IiI(!|01kktS!a@iu%s5EVBsias5T9Ao zcgPAHsc9nEak6^yZ88mYW1|sCzA}SCpoMnNxrZ{P;z7qIFPex5f!Ql%6#PCo=PonT z%ms|W-2}vKKOiOo9nN-cmBEEy#OW-D7lXd=L`1=*pI7mOj#x_@BW>z)>_4<8=^_Wc zdTE?bad2F*DGL}M+ReeySXvWnl)uOU^2E$WAloqsqxO#^I8M{^17+xlU-@Y!sAu&l znj`xjnw4U8D8(WIPxB=VQ&@FyjHT#oPJE`-0LbGIs`l|GTh+vaUGF_8-%W;nMyb_2 z?yO2|U%C;aES;0)iO?wcKFVx}a@f%K8GD$}$$tmRZQ`_DQA300p70PGQeuaN80v=T z>`zw{tKa^;my~K`^wDFrLhil8NSha1k4F0zFB+z~l%t+#;7yNmm^^FgQ}k@fqJ1?5 z2nVsV^nWOdLCmVzS06RIFu!PEmQMCq#pl8(o3QY~Oh^XqV@(t51&$OjZ6m73JEcEy z)Hi+Z0bp!t-_C6v4=wmt6}v-*NoYX9$nSf zWU|O9b@g}wR&gvMvbUEiGJ5v+64pPfL(=9{1Dff>*iEr+v^~bVZ^b0moVBi2{eHK4eX9^G*ML>X6Ht)p3!k8 zY+IA{1QGDXsQ2?lgufdMS zMhXkUXZ{3)+hOdzdE@u~|Dsm5v2l6dk)+(J^{IgCQN_Y!LQgrR%b{ET?A~%1VR`aX z|2jyf>sM6?wOFUZqGmE4phsC8&W~^6h{Wh3JszV28V>+T0NyU4$ZlemEA2YUjvA*4 z%S^lL!BwVou zzCSK7V%JJ~*`7%%m49-X}g^~3B7AeZ>ZCOaX+xuB!zPigFG)BOv2 zFJ4n!OSww+*0?#TT&;SNMF!0(AhIDPv*s34a6LXB@@|Xj4k3)2C2w&neV6J|Sxm#} z6-ky1zO=xXOtRM=tNLh=^ibLNnX`pl!rB}YNHPG{X#LcSg3CiU|Ej>bMA`-6n^vs? z=6l6*{fG~rS@_Y@_Y;FG`UI#*F@{d@kc;{IZ)9u<;y*uBAazJ&OHAd`fEN7z!joIb+& z!D!tBA?8zy`{vr=*QN`0gopr583#EcPqsAI(JFZ06fB{~~4ue74;f zyt{glQ0ojqzLqWSN$kd6H9<;gE(YAqT-o7*C9ELsCq1?etE|^Z)v)hUVS%{$tU6zW za&v-Rqu-vxIFt|VLqH>N%zTm}5ri$l_#O>!$PMf}YnkgMj9WN8Yw4$x><1n+(Aoxn zWqXHcrqgdG7-@!nbxhBE^#h?Fr-&=007KF4;AFOQbB{7ag7ak5EiHdzH_WmUSECRNv~VTx6V2UT5b* znh!&Nrl1_vVy?Yd#Rbf{F?jI86gca3Vn^N}C#|4rvO_Cd+EnaoW0&uLOASK8wd@QJ zdn;ra3{IjDOtZaYY>I>XL`yCwZ9Y7(_K2|9Ec3<{A)u$gF>?QwJZA$585gWfx4ahu z!!}nGIYdy!eF>X}cn~#vu-u|gGh$1ZjbNI_wl+3li1atRrF~+NCD(Z)KrtI(Oi=!= zci_s~h2kkY*Qd~PPaS29B==l!#6V>DC7{+uPMgD`V6Xx{Aa&%(8dUAp?*}!4atoKX zzX~p}4+P>fKD~c>q(epU4Ir<*7BKk$|vuh^@rH9Ft6CPwC^;qVS5Nuz-UFPEOh1}t2r&& zApI7(+^Pn1kN-z&vq(lq0q=+QPktzttFxY$Z+sYizqdZ|jR*aK{yf}xuK-6jTq2%O z#;)ooAq2L*pkAY*l>n``EHKKZg+dMVki-V^v{#sEnjRx{3O#>>^d%)Qa$wl+q7g4R za}?T%Fe6>AU+u5{ojNIw4!?Db!)b!d=eKR}52H4OZk7>d(R)yNk(-1iuj)}HO!)^K zM>~H_Rc1GLqfo{l*W^_M7R5jT=nRO+Gof0E=^A`|B-IFEQ4G5ZVU`wJ%z$5CR%01&3mi z3YHC#@dEnd*9ibl>^$pvm2$@fDcrct1xpDfAQ6UP+;#o^K7IN`<#tVz?6=6tf)0t1 z{Z#*Lz=KD;Fp==WjEwn+pVq&=U%*_(v_fQtceig|I0uq4fwOuS;^>B`Sq-_ke7fN( zm{LA$?BsRQ1)jbK^+;5QB{&oLpnb?efExt8LArD=;9`-#_^=WKE93etQZ?`=$4XFO z?m}#7_!FdndcKGf!7Wm!Urf9pL{N5|iI)+@0?K?g7(+?%dw_Kmj!6psJg}4JE)m7F z3S+2i;KTdV^`O%`{X<~84xDf9UX&=7S#a*$%*;$EvmA8?O3G7e47OvI{Y@9?U1!FnV80^Z|JT7o6Vds$uPDDYtteBZ z&zWx|O>BiYgkf^9>$wG}Xyxwe!)~AvAZ4hFBtnGSTHN9f&isVPZO;Z4AX6BLU@gfM zI@M85NF>GTZVxrYNiMJB`3h7Fxsh&^6nkRSQeblg2cBD3Cn7W|i(|}^P6<@awq@|B zGV~8aj-v@okVEW7>_MbgTr2|`Ed^;-TOr2^?Puuku-ZZacG}Bz?hQKAz%V>Q> zSetii;4n~;SX47>`jGu2U1TyM7n=bG!o!CyNDT z4O*5TgCqX(W)`^KC|(V=UKjm+y^7>xr)I2Z>(W(Hhed-P9bV4loL8CSkou+?>%UzB z$cxNI!4)b*Ye=q)T#6I@0Z}`zE%1ZFq1-}MeMW~xI(LJn1FPowe;Fc$rW)?rvhc!T zN-2k)z0}&65?UMh%@`B_*nZSt_ZgAiUJIPCFEH+;jH=lHL4by!D#N}?U|x)=_cLSq0#xQe zWW()YdA%EgROOpCa3}=kXC>^o^>zdaH`RKW;p+ z8TRW0j^aI7Dgu%MsiJYn)b(LDof_P~o#95nT}GoTalUnsyTjAPqp78@>RZjLfAC6< zFBu|-Wsg!{`8c9KZhd8E#Lu8NK`N^{f28C#KnNYGh!qbCT>kG=E0@DG@BPXx0KLZ< zh)2V(6l~y~&)WjFpvb!deqGS#s~92-RDzHuEQibB<+$SSI;mTReg5r7($M=}p&Sp|J^IB&*@c0o$3xPIO5 zrl=VxI?Q@p5Htd6JaYG+m^eAO|A`Qv!mRtf1W{A`>q%vji;oI`srh?{-k6O4R~f48LB7!1^xxFs)k6BI%JI*vI|{Xp zDl^v{Zr}WCR?%iLJseSd(P}1|W`<}yTyzZ-_N53c6S5RP)gyH{Wc*!UtNDeGFS=U5 zW1v=cp8=tYQci(=4jUS>3>|8FMY3jXbm{Gc@u8gfhtlc7yB3sYiCUcX_yl#Xx%z(= z%HLoUx;RiwAWFTnWNJkB*MKtS=k-=4;`Gfe|G}~Sk!<<)K$*$PB3}VK8}W;q$wF2O zDbag1;zf2VaSkoR*~O(j11H2G$9yFaS8O=FulK^C{m-KLID{Fh-S12R`gn(rUCOXF zd#<@q_TzhS^%}CyX75niG>}0CtO56E_#vo`GXS; z%2qt+VQ>LQHt=~@-Y6dwj=Z2vly6s28wv61blhK({A6pM>QlpE;z?oR2pxCxLv%A; zv5^tS#M0=*cMSqcH~&qkR$+0@e4Fks$x(V9s;ax!1A|EH*R=AFB zJUBYggRX0sT0}G!)aRa~N!dH3Q8=o)SLcU8!!l-Wp1rPVt4?MT&Ae?X+e5IxI8z z+v{g)Vb)u-p}-rV60Jo+wbo@I*d6J9Xk8Ldgz5WJLzFskr*<0FTt>DHSwKeZY`vI_ z5Mz3-`rZziXFkKYHQy}n=A~O@3!pxIe4DhmG{WKl)b=~r+*PSwE9^U*8cOl}g+mU% z6b+5?Aq?UCz>m9mldJj89gjqI@golsBx*VBkVhEPa4t{LldjTJT%Oo(nKXEm0x!#LLCMgY>B2tgg}Uhh@_ByGRRh>ny@vyu#w0j zRN(1#dYW2#L_-;!x+nSD`J&>*yZb+cJq{(pDC`Wq`VvWJlTN6mxZAT@_=`ej+Zyb| ztfi0)FflQ)5ctK=*$;+jw)irVgz((d(CypTc)FosCNS@J%;>!cC6{T>a0pvToGM2P z!SntMN+pc^FR{MviEXv=PCK^^>&dhS!CuEK-_^9*=D`V<{zn$|e3_ z>x>Y1O*i|D#1IjBMWXu?E#jngrHYB>oU057JIQXXovq@%*ac|;b&ds*)k7oc8I4fd z5o{l?tT8XUtOn;7y%VX7RghmRi&GZY%IH|EvghigkuK@xEKbQ(U0VFy4Cq%O@Sk_Z z#Oh?H63ZCS&dg{_nV3jnTfpGH1u5nw#;4|nlx2MezC_`HsVtF=rIbr-O|)qyD|xMX zJN%Nakmau>Ga-j2FcaDkzD*rBGtxVX)2}+3ziCPpcJ#2P`Q+Y54*R4^bpxI=AecB2 zj}WgnrhE5d^OxA2boUWKwb?6fj%*Ii9ZaI1GDaq@zIFMir_t&u>Q*w#Yu0MAQ##%M zthKGkAgFS%^zBiBzQdQAv`Al=a5!wL=biB%6_UDw#z0G|gi|qI_ z%BpW=s1;-9^3XuJsmo}$3e3*UEG-kR4-t?wpC=?6oweTCGMy*N+`G?@H&K=0#bO?d z7Q3=@KfiN@g0|;1r8eihQk>+*KAnk(Ns5?=C^$9xTWVQG*}-1#-oxP}W~$SjLV}~g z7F8^R3EF!?;gmNPc(R2|PBjGB2DdaAM1$0=pi6_V`(w~8x%()$x zis98Iwlq)FfK9s2EPFBpzJzTU$jzPvURBrf1luma0dKFSwwy*)LovBx8{P~9vk%0d zPjdL*GRBSXm0n%STd5@gSYe$U!bBtG&hQMYvLQ^MUe)tq>sweKL(K@L^;>EBV zLB)+m3%nBD`^|A)>KtSOQ-{+JfGWHxJ{2C{X7P8vOF!4|BZ*}Ci2o+xvC3*blZDV- zmE&;5mxo?h>+*{g6+Xnd22#Ier(AS&dg+$mDMR3|6{M_xxKN4u z9Pg%1SOwr1B1Mou&ZY13gMCRa0tCly`1^6hrz@=>(7Ym(V9@Ns<|Ilp|#!_ zHHtFG-|S*6bDukepS_TmvOYR#_4W0QYdB#*AJO$vtAH)4)1C^;Xh|_|VBu=$q}9$% z*Yw3nP#ttkv71oP@UE&mT-rK`Ioso z%YT?a6oNXo>bS8+twC)wOK+@EB+?sOu!P$Yq54Th#{~~rQuo@aJM6to_a%49`v@#N z5?hHeqN4)<9d8P;bi9y3+TS6G*3m4V^Qr8s_|`J|{d6?jh1I(~laECf1&=p&uxl$< z>gqearPlpvI~LRwh5RLr)Zf*9DJHfI*`Y_wf}_{IW}(QiZH}EqABk)Tq>f34(oYkO zB75ewqlqAh;hjzM;#7zJPOH#}jlwXtx;B>s0&O=C>1eoK^$CVtxQ&ZNEh*$@dc~D1 zq#zRptYWGt6;s8zL5ml*B!!vID)2t-tnEpqqIqjZ9kuxrlf&h|AGS)aX#UOELB@pN zaV0bRc$YP+Ku57)ZmMZ1?=ExahUkNH6WJ3+tX6%(220C)F{#mN%H7#dKo38&wna3; zmWfvgpK9knT4D@|86oNzZHq6NHriwv3{4F05z;jFkfn{38{Eu)GnW$alt$vX_@F5< zKb|;*>6z8%)P0eY8KWvDvomgtJ$_4WAG0r(_0;B#(*DHG+qkdCxYy*R*}rB$)5k(S z+;jB(QMi-?ED}v#tF#+->Ck{dWxq{p3@z~GB1eyAcY~{x+Z<`ZPQHP3_xoj3X_&Z) zY;)(1dUFSXYU%rSG4>xdCqvMpfL6$zg{P>yHsOUY8AJ$CX6p^jD`;y(4aNOIa4Gm|~WFj+gaco%3nb4PEhH^!q z*#L{oy0G3((JhYQH%~q!aT$Htj2CTj(P*hCYm*)t;2^76!QBqX5|iC-nU)YyJvO-R zSURq=rK|GM&E+Wa7eDc4z{jKSzE-z@ZR2$A@9hj*SX8W(92_`GU%=76URFv|kcb!} zUK#KR9NUYSTbe3y;VjBZ(;2)qlQ_5?tzmc32dE@YBDd9STePRAXSK_r;&q&P#ipub z+)WA@0`2F^uVyGiu#apisH2;i4pM zQ1aaScGN9Oh0ou_&hBw8)t{a{dG?2U;+}{=D{j%*A2@_z+5dVl zN`GViA>icsgZxbYxwZGNTi0g)eM|S`-?u!@nRC`gQ_JtE#-Jx%R)z>fX5Senu)lkB zhM>e`jL-YQDSHOEhrQh2(w;5Zg6$uQuv&w??rQ*?KD!9nv4X)~z9d+#VK1>8SV3a1 zWG^h6u~!#x;%EQ)f3>+slp?N2zQpW^h=>Sd{72g5VxwpLz`0Kx9v*)A!aq!QCopP{ zy>^HDaA!rP>->rDJ{C2{J0-*B+;Yh6?d?0*v=q3Gu5ID42eqHe>zQgBP2x3G8R^jT|4;rT5>@{WJC_+rY{Jwn3hZxtdPA?O5(eg5FI@6NwE3mo`Y zXH8Ux|LQDQ_utK5Tm0Wx+%x3=)u5Q9p&{cR=6ukqd>>4A-qrcPVoh!*{chv7a%+Hp z?qUUwa|$ON2y-ZO?^zP|~9GI4-mc?OJ z`?D+qVy7~Fx9sEieeBa_he4rO8|n6yMy|PT;DaEz+u48yYY+FsGg9~4na`dB(=K36 z(h5?WD>u$x%)y$GyG?S)tft!u9ud)Fg!w3lKyYv*8Cen4`*RkAL`0#pXD0Scpe!1) zwtBo2RP$U+42q1w!XM58NF4-KIGqkBn4eLCJ|{%cPa0WsfWbc_+3%cW@tf6ku5ZK}c4?AwH(+B6{mh7(FTpddnEWd;F0Zu=5}_y-mK|47xY z=F4vLxNCqfzkplss;B17_wTll5^^7wj5dEnDl?}rLC0;E1HPrRr$A#BoR*h$Hr2nl zbX1QQs?j{Q3IF=>o3R?(+3*8^*e9>((CY=RnmVL>mpV;K;iG!b@>Yc1=!BK1ZP8$I zOT&S&8qsn1=zgm&*XM_{1YVAdajiR-Bh<`(t?M$Wok;}C9}eer9=h52sgBA#Mp(1b zhTgZ_Wp$`)gwQ=q(cECQzl#3Mb9;ulqr`N!Gx;n4`OKZp^@Gtn#oIBU<9Bz0)n4qD z81G)Qr)*9832oOdt4K@<)}V4;AfTkRsnBEoqp2e`ZeZ*O14?TqKR6q2F+=lgnG06X z7Gi>C?1&aZuFY?~FT=XQXO);4!9U7iy65O275&3Wds z-!Z`Uc>=tQomcW4#+JfunV)ProCO4*6@gC9^6BV1o13_bu8z%s!z7EmC{%mmo@@;1 z(32&fOw$z6POCXQ*7gc9{*;%YvKcvfJ-ano+W6;5=<(cu zgRpkZDPxZ66ss|&c&Z}7xq~Rt`;J6+PDzbo8kCt<>$EUVO34+bopdYVK$(hqe_W_d zM;7iVhgj4qKfZS^bCCY%E8JCDbMflZDA%nLR37Rb3*VuANv8H%Q}@}-#%Ob1lo5kY z^`@9VZ`JAt>O^O93p1g^x-SVx8#eKGM@v7xEv)kP63~=KJGPjJLNx;=;^kx#UwAzc z*(ls1OBiCoSF?kJohd7pcNN;4@8e? zsC{ETxK%5z+&;rA0f1L^WqF*e#ZfHwC&B?=st;LPsIzZMkS+N~4?U|G8pEts8D`g! ztaP#^I5J&Uhx?bjHqO2Lz)WztHQJVKym@KEx-Z^B`0Z2kgE3N9nk@zc+X!w1JPw-e zbv?(a_{jP?U6l)Fsa2K10EhbzD{r1$JGcsti|{7d`(vauQEW7MHV@YrU}Y^ zi&+smiQkc&nCdtc&QGJ5J@Qt|-)|bcvr>IW>xTSlz}LVJeNVD_Qp!WxMQMg!aUay;!gbsyVznyXp~1rPC&5!&TXN!jrLe|9G#o-@KX_R{S4Lgf9u|yjeB2U#1{P;Hi{v{cGdP{sa3lO!~x(oXe05 zu0-3QD_#EOFt1N6U&2Rdncl^J(bi$~5w$aLT5?L>NnSSn&|>;CPBYqX=%QR9ue@|j z_VGX{e6>PfWNyPco0&F2pY}`}(=t*WmRC3)+aC(ao7S8{YSz1qL<+{=%ZLaE6i+|s zYOC2KlUZsLlyH-o%?V;PZP#&oKzo=RN4Vd6y5~m_7+3w05aU?_-LcpWPzj4 zf5!S@_9!;M1`a9&vNk_>I6thTw`#nbV5ue8K_>U1+(=|&&0(D5G}#M-FBfZA_=0Ug zYLR8)t&RH1R+_C{`V;F62!?zQ5^+n=SR8{jDITE=t~v4H;ewq7^PMJpDWz})4fxZa zmTm_jLL_~E{0rK`#jotks9S?&&asJ-EdfoDb<%qc_L#dqnn7{g<8jXd^n2qV=#CIh zhp~ffmn@~KlTP*R)ujH@(rxS8!Y)$OH@^JsG8xe*zM8s`-c=DAw*`)*ylqo@D&yV# z(79Z`1+C;XyN(Wc{X_(b#QTTNiBGZ`@-_17g0Ii0{roHIq_;LzE@?Kn#M$*g0R8G! z@mZw%Sz5W<^k_RWs$vNCZN${4Le+K0l-nrhWPZ8)FC&_NL0=KxWAyI1DUo_T7A^;T5iQSMxy&evzK7}Nt0{R zmi|D^L|u_QeHcfkj1?mzIkU4jlWoL(5|yb}{~v2#8CA)$q>DE0&`6_=ySuwPH14vI z#@(%PcXxMpclX8}8h3YiaL&DFX5PAM-kdbA6}<`cJdJ=K`d7CWO}`4iWv` z6hCm9KiW##llH@c6W`9~-~e2y+^c20z?A4IvQh#*EX2>P>NHa+r*5~k5F#q558q#D zmpo>y8P4hru{dr(PMe3_p^$y-mRc7zTaoLmLTh&!_ClC3bW9n;Vw28Y&caajOQ_}Y>9 z^%>8dQ$D4Oa53}B^9bO~e2p3n#ki6&IjpSzsIOuYww7XPycSO_1#7|(s%A#BL3@SJ z&B}pRQ%Sgiq{{hz7&368-xTvLogkBHbtYkl;-nSx=7PwDDy=j6uf^q4q1oJU2yZ%I zH!7j7=3mAwW{mf*(IGfHjVQ~@uMZX1=UT3;G94t2zfCr$p1M3Tp3=Df{*6~tCFN}N zidlM@&~Sa{gmxv-lr!vJm)(0?TQm{9IWVaW&}nS|dsHixI(RH4HgPKtzvyy|S}d6Mu*2jxBg}Ulq60+F_4PFw5X!jN2@`O>>}5{%wX_5$iC~1h`E$ zg^Fx{NoF~V*ryd?wr2%w9^GjT-2B8gVa-*3-uIP)=xrCZRf3Hy^~#LK7~T@!{4aGq z*@#U8=a%Szhzr5c~CT)3rgtXng{MV60Ca z?Rh~Z2S$$mGMsx;O{Gmgx0-yGq~U@uJVh#-iM=puWv5pBG}>Y1V_K~%pGN}_}(C${>5k8zU8m>DTL zGiKd9uQ0%TsPn9TVf+P3o9XonkJ7G@mb$`(`bv2Dey_CWQU@cUq#48M$+(xu;&0=o z>;Q)d!RH77bz%n7_>XS4oDT95!A99-1hE-?%eAAcp$zp51@YHA*rsT&lBM1}~7mtOY zv7U8s@l(t4gUnMA-@cZ7#0zR8a1Xc!?VXX?zD}(Fg?W5>Ts$AMx=6ku;xqSMTDZlX z6Q0XmPJ-ZRV_7Le=IHNoPSX*}z1pKwiIn7lqth{*JwcOUNe$;ITo5=5r+P}$FF5KH zQKm4i&z`L1XzYr-8Q+d-Z*9Jr3`#>Ab#Pc5XoevErBABje|s=7OkV4`_ZbTn21I7$ zwh|8MfLda=$)?=Q7W1{IG2uzcJj!yLf(dR1gvO&_yN}Mgj|EPBSIs(Xc7tT9(^69j zGBp7nsg<6Kh~>dlyi@wd@hLOKl=CXmrPZI9MmdBE{2z+ex&l}H z<39$GDlxUGu^G!!{QYjvRXhZD;2kfJdU_+5U3Kn+#r&Z6wfMZObXg#&kHHqz-!|l@ z?|Rby{M%t{O&b(P-h6roP@~Q8GemUprp>xP+fKb!e9j?z-*HgOL+USqzh1EFycsl` zvOS=UREeLb-Wc&zmGrEd4-Z3=1MbdA?bCA|EJh#y^o>oCl9u$wc2!${1oJ-p?ewBN zwfiH7vFT-QKwYa*FU*>r9iO~^>(W3W&GNYwC>(*=!boMZx*61vJEYSLth(Tb-mHpH z@g7K}C_C^6-eo>E#AX%`)Y!ZUKHsCXOiY)IH#_ffxCkq1*U8RZYq@y5-Nk-PxiT7_ za#*AL{e|JE&4Qo9rU&!*AD2k0QhN})ej^;lmeazs{24}c()cXTl;_uDy*dbVdkEjG zoh-xDlw%@$?rdL4OO_xYy&0B1tLXdj)Y~f<7Clcs&mH?H^2^Ze=rF!Q^%3OzBaE@^VwkK zR;jQ0Jipvrugs^g%vdkBSo9BloZvproH2<_w(_{dQwzL1ALQBgi#6vsS~c5Hv2?yd zar#!A{obLfaqeQth=YvD(c>><`#D%-bpC-S&YT}%#^g`;7!P*uISiJ6`M0iGgcz62 zg6xzOT$7}$@ZPmJX1D1x#PY#RC*XJPH3y6kPc-eTeYnDcW2<&1nVvn;4Diwo8U_0s z1@=q8u`{NmLP^zc&H_B%!8kDg_GM%CCeKdlUw3KgMC(&0vl3UV_LOg|8;fnh2M&)T z0}occT-9&rbbJ#CiO~0(101`#6zrkGYehx^Cp9-{5&!k)9_@zdt<^dU4&pyJ+KD%%i5 zTRwH2YJRk@XmjGT3Gy%FehX$9xf;0slrG=SXiBq)W^Yo=NAw{crD7;rqx!6AIAIB) zaPnt4)(Cue$R4p{0$RaNBQxQV65ANTyi4x_x<}5dRErh}u&%(8;C=aF-e8d7Ke#<5^#!s%i zx{BhcQ(t_{J@d&-;|P(jaRcpA_nM2 zbT}Q~Xfg+k%r)xW)?6G48JQCrv7P$4VT`EWhlj@CI47CBV&!YT%6Y;!!puFd+Ym`_ z=dcIgf)YC%RN^!=M5809`IK+QJPoKe7 zx5{u*-oe%My2Z=-f)_LAEl?Em6V?i~6>}Z@k?}UYQTl5qqf<@E6rOYdmT&?}g}3?) z?1Fea<5@D5+P7uHVUkawJPD5k!M9CMDsEwnrcX}hR%xSpLzn-&+4R5tFw&1zWVwBz z_d}oCc15gzMINTTNw0tr&T=iD8zM~mfLNVXomV{UJ{4*6WD)dz zbd--247D_~lnZ6)BFI}w$=37@gt zF{fBL{h={`+`^;$1MxU_5$F0<6ic;TTGPGj8g-bmx-r zFZhhCeOeczqoaB7$j;oj%)rFT*)x^he!g5Wx6@SVN9D79;rnBTBE81DFnn|gg<8)9 zb`8m-ssrx?k}=U@?gvh0*;Zbs*Yxyy>{eTgk6kX0MB8a#rfK9QFYq{Ktusch>TT9E zj3c{noP`2c-UtLF_BT`|Q|>(C%uj=rTk6;AWg>p4nxYhy1ej$OsFoJuB(Wv zpUT!^-qh{8`7{l=qi6O{HqG6M*{hs=eadtlrqQ^%FL!dr+7)bdlR^!Poi^2sA4Ust zsRm3s|IBp~ob6j|Xamkw#SO~YpXah6yULxS40Ye19PiDc%70sZAC~6nb*2G!LYZ0n z=rJYZv8Sr#0^pP_eTS^y&dCLyKyVby_s%i#LnRTi6Aa0_8B)RfrPb-6aiRIbLTCokHM5vTkrDW%xlDLJB^q;c&TfrVCIewZF0M z_gf-PI<6wlhX=hzdO10)-kU)=wndLf=5Lo6C7x-4%L&fF;mp7)veJSqrB#vL$f&dp z`4mwZf~PJZ>TP$y`qe~S@tc5a!w-79=fi$!^p@ox647v-o;W`m=CwH}t>Mz-)~Y3| z8dNw;j8E5b&rpo@;;V%#AO4E^EzwMrFRNR&T}6rTJMx3a5~JI9exO zNRB-Fa@WR&UF7;J`-u(&QYtHl&h=cKXt26JV|F7g=!PZDRI%*?T$~Yq^+`r)M)T^A z^2ta<(W<;|SD2QSJf+ZB;ZDLoLoPJ4EktW+%UIm*siLt6?fc$r^}o@%y&M#kYDx`< z8!NQd^$`kxfj%xyWBR|B>W?pH)2Ll(OVFMY;U1hyGR5hl$!;bxZLXEus4U*P`*E;ON-qqMuKFBxL>77^aV)&`W~j zX9VX_?q9~js#J>8O^Q<;&R+um^{99XZ%gjNYVPT0j3)N4SMY%ir_HWLd^~WiyheCiue)UE-n76Ciu#~tNOq~0W9@9?|{yA8)WEQvaqjT7cuJE^Ft_|{O*^N=5D}Miji;)C0!^Q| zeNek)T;s>4pA@(22bur#k||N8wDj}NznMqHKZ`$0Kbb>6sec!(i+&byeUkYfW!Lvu zKsietnJyo+(aE`KLusuI+<$S;eiA=F3qLE0ZTw(9yy2D>k5OoFPAPYs!;Tp1vF?n(Eg)VeypnOcn z83jb*>>6C-`STKU9w6Q(^Bmlt40&)Fyw85YteiFIJ}72(W*PN%uMw3JUstuKeWmE z38#51YIF3)v&!$pP?MjDQyRpB{lZ;iblSRV5vG+3{A?^2C!0pOz_hS~OPy~Fw?#2Z zB}Yf5O{WBqzPQC268Ts8V@gL0Xy)3?l*@<%MaXbSBkC(jGKjsYPAa9HOjer6xEM`bN2Jba_0Q)E{ni?5wfX*^kolK z9!qVYuYoi=F&#Max|q6wTR>XKqu+#!vM3Bnk%Sz8)G-Cauy1XYmn-4CRfNPP)MjnI zdyDxl21Lv36zEi(S^aQg{RTg3q20rjm7A|M8_@aSKkrGRTit#84*brV_;6`c|HFx; zvuuLJ5)&MCKekj!w^RnDo9_rB_1aA1|kBKdYJT% z2;d`7Mn+s;s}>5iOG@-@n^52sw3hTY zktR{xp01{pY|%{~nTbCkXDvkW9w|{ALmbk?T~=IX)Jae{Sv|s7hC;1%_X7ja?g@s# zuFwSVDOrX;Rij%WF_!_=j0rs#uP4a&eOTH2T{c^q-~{3rQiG_`X;^$w=ZqVM!8~Fm z5^dka_UNfCHUi51+{E1KMSrLRbh7RO6qv_vQFSW~z2E|E_A}yRkoBX~_?|iRlsW=QWCib@X z0R|R0Nx8SxOa^Z-)f&2q6f$-7P#!w)tBcGmaPo8 z7Q1k&29ao+_VC%OFIKF8l>}QdfoG0MYp%WSF`LV5^jM!$9UV~hz@Cxz;AKI`0EgEV z@XF33WMpiZ%XGTg&U5kDH57r@CHaWMR#j=$vTK!Rdfq420&IZTn!H8LK^UOJeON-0 zAg^Q>7T#ZW)>`p+J&9-=D%W3Vo86zWNI{Hi24TYi$2`E0Y(vPWtZejJbQ?~x>&JUn z9{3B-qpV%|gBx6r+3YUQd%LBX73-RvuGMgI&#%6nkV(z5T?(K9RepWLd@Y=ElM^%( zEaSPgonHo8=VWNGa>#1MD0u6%8Pw>8+GlfmNo-ZXM%b&*wo_#QMuS750hnlv9-raEkT)%Uc> z-tTABz&FkYiDTV@9x`2@Tw^(C3t_QEbXUZLqL9vl)(Xb;b{xoN0-Xlibii@-9a@=v zQkH3ed^R=tr-pyFXavIOE?TiHnXj64mK&D7-t9LY1vL-;U^X@|b4!muq(}9jBw9)> zr!b!evWT4*#yzXUK9NjZL5Biv%0yA`mWcex2ns-C8Aii4M`>`edm0~NV(h&z*~4KA z2wSr@6%_BV)jV&#bj{!jEH5OVZfn>a&b{>g%?5$&j&4!HZ;wnw(tsO>FrUQh=(wVz z`SN3ta2RSAjba@4$L=MRdu|PYAl{DaS1gpfhp_TFb!6Y|N+>;RuK&5%p}5Hs-gP#6 zc##uwgQ*>s{H|>QR1V|vpYfI>$VN=pi5Rz=r#&Xu<$UaqVq|XNX~W-BrDQsE{ltm) zhB!y*71U~qypwb{W>VSbeECD58_V6kQXA=qsL1K!T#44V8ZzM2(pWfNWT4#_suw4)O~x;PkN)Cp=&eKJkVn=oev3 zUW(Xgf~YVbP9Ng-_IC*l&onm_mi=a>TnVe6q8WYeedyTI_oD(EVKDvx!Y|dP7mb7w z*I)c;oY)So=yXTkel5!LUo7I(mq9J-HCUt}6(wi-6i8VcuIqW$_!xvvJ`Xbw=FT2e zS_joLX`IZ@4ur1o$am>S+n{1W)yxiB%&1%cRNFu!7VxHN2bjSRP>q zV3z-8y6VA(lbXALaG?UdeCh%XXXlOv%RX&!r+_~W>EoF6UwekXB375dk%bXrNp>q= zBXv?lcrMyBY8HrnqYgYya{ll=rW|f0Ud5#3%KJP4v!V4lnPMn_sAg^OhOMQ`zMZ}o_$lX#;Wh-*u`odoSu|S&6la`HiACzO zNwZtoXM8yV&6CLclQ>kpa5*)@owNHD_3Zk`o)6WfFu8vcAdNiMDwQ_qzKd5NxSxF8sWAD$JP*JLmj%$LBbZjf(b;F5!u z=`G+Idh`J;`Hp8S+Y!|TmT~>x*HXVVZ)Tj@;&A$)_IyJ(+6%`%4~TvqzS92s;Xcpp z=4d_`HwwdW0@m_Eop>4>C+MYvfU${F#+Mt&5O$Jo?iL|T?UvfmuDtWzX%LOMI&JRY zd7Tzq)d1fyli`!GGAI{;lmX@k;GqZh@oJrtZa$3-yxNrY*QU{E2^T)^!fc>R16Q6R zq?9n_WE9jL7$s0Dw@Gr;l&wdmL`~^=@C*XZz!&$EWdlz$~(26&J_*$Du0k9WArA z{=~z_vyF*(+B)scmKpBOulJ=+7wvx(Dl@fSC(}DEa07}Z?09c)`fum(^kDmMD%Ese z+#MWF2huH8L!FjiX3DBw6bDGP2!OGi@6XKqm%!#aA6(m?T=MxG4(Pf!jPn^4oGpF5 z?kbWAl&Y-fPIzsaAke*}NiZJzYYcryc@Y%1&ky5(i!YJMC9Ex5or+LnUEkuYJf6S? zA#~ps?A?LR2X{)5(<34;HdJ8C)TVBsLeTK)lmn zV0@m%n?e28%m62*8(q%d76st1TLmzvHboVzcopmKH`_X1CTFkLYo*lAPhQ?hDQfot zGW>m`aJuExzdAa792w(4?*Bx|$N5%DyeSWNQaq{ciGL}Lp;fv1W5ISxdm-7r zqq&t%o%lQcB_MEoiyl=B?INn`ZJ)e&TR>l!bY7(Pd(>cl?`vD=9=|pluhs2vYiNVPD`38+DsXE#J$saw;wY$zy7fLfU19^pfz7*j#1H5fmM`i)0Da3J z=?kB(DVZYNbo$NZj-NWvVI~o_H0YTJuI*aivBCvHN%`g zKvdlYS&#=5pOkG@2I8n&c(wEcL z^vpI0CfhfTd6@5ES$R5t`&!k{2t&ucl1-m{vh&b7Rh5&%otjxGn-sNK#aLFaso2BA zh4FH0bGbs4PLU{g2&18L!b%I|(11aejLZ9YR!Z3*Jc!igq3DR6$3}JR{k_`-X%LM& z>PAm@zL<+SO&?;``RK`_PjpP-*Hq|j_5v0VH`XH`=PQo(7VfdebyRxz6Yf>IN-bs!J1cdO(DIV|s^VVb7dP%NlE_=HkN!&54|C2@vny zo2G*%_JBDxET_m4nZj#`$s0;EL={o}(ayqdis@M}JruB|$hBJ(NXfB^E6*cA#)F{A zqq~i!&I9z+Tm;$N%om)RBQ&~jq6QQ|Se>2ZL0CDSebtuxygJwQtvj)ZN~jefL)9;V z#~9g*&7Ztk#8L1_D15><^%ZM{-`iF`#|8MIjui79`WVre9Hxtyq2ln4UFIJAn)QDY z4R@gh67@vI!MaaiCf%U*N%zMWq+bEFWC)tN?*|NSCx#jW)3cMkgrM8W<*WD^Ph>mj#!w$ebIAb%$4Lnic$d zJ_5?#_jT82>g)Wj4q}yq0%H6Z0-3&E-|~w}Hc;Fl(R)LCFd?Y#V>7J8m(i0w?XE;u zDf)#eelAiR&}*4y5XS}lC@mExvKdT2n#ri4sz*`wl)iw2?qL$A`L1<3b>ARtT*Gl@ zD%WzXIbybI31v*xILjaYVREy=#${{RNsO?F`o;D7`|e8_j#|}K_0)^WdqVM$m9Svr z=%x*uF;J`0CEdF#s2{6v$`WH|ozpYePWLdPz@On4a@nzO&3!k-B-5w^mEV49nmr3y zZr>C&u5Q~{tA~ne>0Z_~&qDis)3D=ln~**AOdQ5oX}#=CXCGcjfNU-NvtRfEhTd-U~hCakcjZ^WvUW12dB-l^nG#yM+yNb zoFvlX-UXAdaiZDY@AA*y7T7+W?gI^~QgbTs0xZ7!VE0{V^U?F1TOdV&x%+SGE`*wj zy0NEzx^;&~tW2)pPFd2QRP*bQ;Fc;%p`rjU2TWw3ApGv>Z9Z@(F|Vc$&u3%3@UYI8 zRvU(X0JmL76LUh*D9_B0_ zQ@^JewRG@VA~UclIOE17!u}{FoFJZnXrSkkl1!tRHmivlj5(j`E?#h~=<6-G@doRtFdMCb*4|#T%)r_=Ih?e$eeq01)Uhhbn}BMJ%erb?fH-?I)Ugdzgw{T=3LK!Dhd36N_cf?de6m<4x3y(w2JWK+9B87h zG^*ArTjJII-iBRDZB%ViBFwfXG^2aq3M<*t{}KxRacVT#PS@c2-cIdovlM7kE09Op zLuNh3I!taYNV}pye%9Id;w+iT=L1ri!o=@jYe;4Z!O@^9!?dUI5l75fIHlgPJ=;^Z zT=3B@%QQ0mbpzC}b_8zA9YrYKyXb`z`W)hLkCph_wMtaSaNiMm;PO%q122SuB^qeE zvBZn7RWI9SF%-Pp3u36F=A5DAMvf}ll2GRTXn^^|?S0E*vdAG*&_df9-fX3Gv2-+ybeeX z(S-Vr&CYby4wVR@w;__CyWLUQ8fft=iYSYvN%I?$3$*=SZ9O=!mXEZVsHFhzxH@EhdX`{_Hq7A8w z#Vc>OYv6p4MEoJB>87MRmk(ePo7>%f{rc#tFLhb{$NKysAqwAJK;AE`q8#4`Jg&bG zWeHV;yy~|;e0h6SY7E^_l!h_2H?1j z!FQGy=ZB<*EoF=9qtuuhAv?J#?eDj=X;`5*vAl=Y2F0bO7slkdgvozN_0|tOeMfsc zKf9b9>{sAfezmY^wi_4IO}lM~iKY%OVFO>Y8je)(@)f19ASSccqW`U|9H|^iT2^41 z1CsyDA>XrClx$!{)JI=I`F-$q_;OcAjDv`SNje(S$cBP~0zqi&WW>&&**~pk zE}9j&qA5SV0j24RmdIlpr=nmeO!Ia`xZ)Q>{FZ3E1eu0BkAD%N6_Jp5cG@_WbetE# zA>uJ2v#>Eyi3$yJJ_ZIlPOyYZ$X6BB*#jsgxXviLe5i7a^sY}vq*J;NMnf|>8Vnui zoHQK4;xc4G0l4n^D3;Up7HV1ZQ0(xJ|Iglw8HR0_FyRs!d{{GZwsUCgxW-E@otHV^D-&4IM>JxFV7^*N@9S{xUFYKh}Yr{=608zS42@QOXJiE05g-u#18}h;MtiOM0hqX?%)9+|q4Yj^jY+5M(jJ6HJ zvWSlos=^mal}~8uVCs3uTx(;c_WQ-fUGH(C8xcfai8=)x*t%QS z_vx=>(iEx7YqY%X56|b56FiUe10vR_%Ee%KlS??(^x!bfobj!u!t69(PR`fsjlBhhRuU zQ8EK~7^p>mDwpMSvy$*=AAp#DBNI!EQY8D@Xg^&&-d!~`HJ2>_vwknNkQW!A?$Dwa zFC%X#m8Rhn-!J%jphst&_ERyFj16(1sc1)TUBn@{+8^lJocIXO3Cz2P4j30DTrg%j zu@tbd?<6b6?T5^^X(Bxs%MW}l#4PuV#k5g6Nvdn^g@qqpubD-yATz>ooFYj*{HsO2 zstFxxqqvd`@--%tWoBGM`N44cNU<}GTZ>Zs1dD+KwwR6p^YN9b6tNfkmVlxA>?#yR@TE7+fCNnu(?cDb^r+}$MgZ4kWWJvEQvaveCwkY5IP)R89 zCKaL9;kT>Hov2dwJk(E=(M+oni%W=NcfQdvI+dnYx-r-Lqvv7m29hVVn2?u2R^c-x z!1|4y&Tb?1t>T`j_qjBlepdz=&#KB9r^-Lc+Hz=aRNmFh9*DatcTD}`yOj`2SN?#v zLeMgkJuG)(9t{JS0Ovl@dic7q5hWFi1sFRa(bJV`7_O+^MxF;{ZWR|VbthFT5IzmC zwG15z|Jb^FeGb<=3Tc=i?q@w@m$@5uNP7!tNr}eZ!{y%P+1b(FOH1Q$nDE!?$d2ED zNQ11@Uv0xwM92Z)N5{HSDYS+8FbaOkTh6doo`bhEj1b=4NS^yx8{Xhb$+ z7dNd)en702>e{;>ZYuFv<){G5nb`$=3#N;{Yty6#=#yc2@<(Avk46vjqpoxJ$SU`IC#gi%iMi|(z6OWExSW@v z3;M*U6oA{yLmlzM^a~-$`zVi~RV4e)dhxHRiu_sJHy&ydM{;>1cy2>DMz*Yx-j;Yg z?@g8#A1+js-5FHS?&WlxRwe|OmzdUD7;3JMBT8mlPtV-%-Q60GQq%>kgOxRzUjE&EYNwETmt|4K2gw$o|P|mw0s1JdXRRkr_b1PZ2Iq+h`T{Ja5WxWG+HQP{n=H zo%8!E3NoF2!qH;7RHxWtiUeQFV-qiG0JcumW*4M5tJhnk%F9X^`c~tJZuiW%pCmx> zK2U&xAe+{bl&ZL#Px$_lv7FM{s;-{Sej5%2t z0AGsOqdJ{cA2`z#)Js=4;Y(O(6ri+KU=us9kX_`VU=}@>(_oyiACSFv%P^oo5W|rc z=B-p$NFCTCLdOF0>5>$C^UqrIdoxRw9v`zy=xE(slf^-X#8~&9VZDA#V$W zbg&pW-n5Gv=k`!!gX7xo0;U=_F3?M@1kCCY3IB9WQ{im$Apc<9K! zj<(L-c%=DOc~`42JoWPOT1YazUrOIY=h~Z|7XE<4b*iScZ8k8#SN`rStipI-CPeU$3`7I*wGAX5Xb_=k;I<9!+Or|YgaSUqn#fDwjGz;m1hAwDu_J$vm zLdWK*cl0TULm!C1xesb~zFnzDW2`=?a0Ql8REH|`^ffY4;`dOXXr2BjD=lx^0H6U( zbf9>#>qpG*DV)UEU53Z)YNzx>Xxw8u+8MQrxcJ@L>to3t7_MMynh91NMI@mc-;3UE zp_^NNAE<|Zpq>7hSyAYWEZ)A`f<8EI3lQQrd(ZpP-e)}Rw_~UN#| z{H~M6{mIVSX!R;a)E7U{Rpkiv(2sqPHldxO!h?>nx2J_gPDR1xIL7`&-1UncmeY<$>#^uA*J0EGnrNdhj7fqyiW*@AE{#3I^dHO1G- zovUJYE3P)|0|DTF3flGfJNXGo0qqr0-i~QbCY~!8m852l-AdqU0DPn~$M#?AVjI zb}+1=>KK5a0n9+mSeW=xs82V}incNf_UPrt^7ZDCMt?BPGo|E4{e~E59E6sB46M?I z!WqtfQ%64Uy_K&YJU_w8{tsbQJ0z`j?cSPDmUR?;K_ zHkgLK=MgedSw_b%L7tAF;HnCI{AU@$n?M1h_T+7Gn44cF1NQI-skpbI7kAGBEm4PpooVgf)j{ELOtZg*H6bi$B-fytarv6L&>j{Z`|eycyl6oIFbGTZCnF z?`M+E?L)wjRvakeOtt*t&fCtzKmfn@(hCMtpqa}1i89Bk98YE{Uo%9e)hdL${+K!pkD^v#doJT z8ed8w9nr(EXu8F03i0Vr16OI5lqw`+3@BW`Kb7AbBNoWt_jlE7rmQcV3avg=ho4II zDP0<4i!^UrafEKgNU4|uYE72R_KqE!{Q~(DiZfUnR%*f3dmUCA(ib4?yqcxsgxro1 zS0T;YUW%;=o}Lo8pj1dsE0SlvGI>Uk+mPcnvJ~~Z%?7UnK*-53EZ2)hOE`*E7yk03|i$qC7sb%Y4cL!>AAqUwrQ2z&g00sO@n}r-+$FN)QrtPM?c;Hv zL-Bo-I-w!BPib^-;crR`_Zs+LXF`NyNfDA1Por~FHVz5(Ta?SEsY%JtA>qA8e@bGB zn*vwbA2BO}aTLI}{Vv48YP9CX6w2n@efz<&d*rGgyVk&~RI6`;_>oNGT+ih(eL1N! znygJc&Hc`N`9|2BK!JP%X272?Fk6b1a=&#zuP}nW6$xxRB3m5q>H(>XW1H3t5CrE& zmrqOVm>8R5U$MbIVi}RT2p0OUQ!=CwUd99;__V36Q(PB;O-8CLqu|;NGB{b*f`tuD zP7RLfC0J&@Ma@1qSK4iO+G>S!c#(1XDy9SA(jg)cI5N5L`{Ckw#dk1&M_Kqgt-qdy z_S@ECwd}15_Dl)yr^Pr~C{)I@&X$KIjEV@%*x)3F|H`AsqCo*qEIerJMr$hHaP;*A zJii^HVkf3yAL@02)uL;32sWXe5D6o)d2VmiMG zki{M2Gs8ueEY~p45PU> zNjc%?NBrp!cu>JiH<3{=rL5!QNnl#^F^g<_i-RkQz!MR8wuT%EnScvnb=dEmM^SNr zCd4=C1fWk2CtX||8gVW8IM+nm@;^^ODKdxKU@AA99Q}k(C)&B|tYkgL!W~1-{Wh4s zw#fl|1naIRH@GcnOV;x6!1wbgc7RT2VKG|UDTaW0@L|=|x9+75w-GE>9xWYNN=Ed#| znzM@}qj|;6bG(PSWyUW;b4zc>o|JEUg&I{N;LkkJ5;&I(w|%3((P$NQ+J3U_81;Um zRdi|#Tjn((im4x>lhPM(dO^G+L;gzG2>B=poQ6QR(JN%kEM4i=7|&fRckjZ3{kGQF3#`Pmx(zy+dFQ7u%GW%+$xBE^hTyGF$VdMJ^O3g~l@Lsc z&qiyQgzR1-c%>Ow5u{iIRYMk0IIcHHGZRJV9Z46cin=G@KOl`P|0~4zeNsr z$`sxW?@I?8pp$PUM>%>=pO?(laWT2NQG#Cj`1%bOkJ+ZRjdHRlyc9LaU1vgoh z(wORj#RDcJFZBtju2=04xqd*ZU$vZVR@ErM;>e9d+8`7#C8NF*`cN^<ZI| zFpmvfgAWP@&Rd1`Zl86uZ$H|*J+Py}g?dZ8;?})E(*bGq(eeC;-m?BzZ~w^`X8Zq) ztA4)Gj|AfX4OjgX`TxXKSsB<_|2tlNqG4f$-5mYK)0xwWjZd1)vZ3Rv38Yrj-kJcY zpBaLxf`rvbsMQ`?FFWPdIa|lb&U-F~kNy+91ce&?_Mt_M)=yN2k%k4cv zsLs|o?Az9)al>)r&A3JqFd(j=MN5;$)7z`F!T!?C(j`B=JiXKm^yc*KmkkH_tV5iQ zHg#6BFlHxujz$B0*l>>#Yaa4f)p~4o55W$tHZU5AVJpE-Da0fedYh(XtvPL_7UP)1 z^#*k{a|lIqbMw-eNwIi`I=yY-ax{ZB+nfZTm@1Ny8wa9auUdAkB0^nIrsf5O<-r%wpgG{hUNIzz-m!B|I{eh=b41MR)*g4C->_eIA_RjBC11!O-M_8!UZ!!scAiiqb|2;y!Wh+e zr<^HWlt9ViH%lz(VchJ}&jP6ju0z8JN*zWtH7Nc&yzXqkt6vRMj;j1GcEE`$ zBcR4$a4muyvuwg~P%LvG^=nwKYNLdTU8CK3z`*IEfYRmiZ)_m|)M&o&COv(;o^I@P zSO2Tk#?{dcI)j1N7siZY^&ekQBYZericxVFhyykHrWxXZl?_|qttq+E$VB)h=e z5Ao99KJ860Ox!6WU?&W4)C5o1Nr8^LdnYMYW)=#-dZW3YW=*xKyAJQ`;D z%BSic2_BX6ZLbfefM|zxK+@qlCD=SLv!60M&b|A96R=41^>Y1QEvlrD)ym7|eNsFO z3#zrxElgVje9TsVqQjk75Ay_#fj63dM;gAhxD{gcchA?;3~()i+s!lT_&$E!XN(H@ zmdHN%e77xF_!35qB>v*uTLl;hwnqMD#HBz}RI0OH}j(H6%c0#jj+!tQw0$#_V{Au1OJ zDf6o)0uqfxQv8B`)wHIsq#RR6l;eYONOtdyGJ!y}s!U9-I0U9FtQ&&B3VecCVhM1S zzl6qJcS zYC`#dY=v$mfl8mbI&^1;pqDc}#Q4odi08ZHK#iM<_ zpD+MJ3zfbiOy{<9M4G&x5Y^;v3C6OJXE8M|1}$R-G@3PefDRU9dQjY#*9^$e6@|mL z$ky665tXkRG}k2H`Q4XY*Ah#o6u6`KBIt?!dyE5_Ov7{MEg2B{NDb6r~EbvM_~6NV=uJak&HvXup&J2-k{9P3Z!aasj5|X4vNLiMkBQBRQUH=8DrH(fTMHMJ5Sz+3rg*ax)LWnK%n8LBR`N8Kr zMoK@t>|)o%`pOsHfw}k#A9qT|hn^IQ;Q8{(q*Q{V$ZJ6poiAGM$qi&#gXY>O++-Zn zUhj0G6R8@(L+!0)UaY64B(+1f{FJ7pL|yFMOotY%QwDYP#w4zg(^QDvxn>ShzSJ_C zdrvMSs4=gJ`;_>{YS8cp{&kntT^#^WTj?sP-&dIZ!DYf(x5sE`81eeD<8vnzrAY$u z$3ny{{p6K0d)q^k4(aM7GpNzgbO~`Z#2C3axgNZ6dh~$O&RdbF9E+ln>8i*y`TVo> zc(jHD-p=h7LHtTrLfE4DBXc9vDeD54B+uq57vXaI6c!y$CUAIvHWP-h7Igh>cixpq z{OtYDUgO4%iNQY}@No&2fSgwI{z~r{J1pO(WmxuyBhi6ubOA!8^r!;UF<^=s46Vx$ zt!c|ZMw5#G>4V}xJ!tMmX)1@v-KR9(DgQx3PDlGX?ur7lKxpJ)^uvOMH18B zVsE4m%?gTT*$ClO^_1e?=EtnbBEjsCf%4U+6U6H1k7yH!JPF=jR(?ZX)9E(1XCwMD z6W|xzRHt3X9lY-??PaRRah#&|S{;Z+i5KU!J6E(D3>U~&iQ#|4TQHIeV~I_o>*b*cb#776u{EH z7QUv*OZJzLX*Efi%-SmZF!>ClJNcVrZ89y&Rq9?CXz!S0F&V?F#BDW*4S1B+3>8ze zjyIAIwl^1t(`3hLVPo3_Kkz#a&>@9+O$VWjSIo`VY8C;|#vrk(XxkNE>)K($W#FfT z2S@jTyd>=&aiR95eLX%k1}?jyi;+QJCQjeWbtz-NGp&a>xuaf9?*GaQm< z3XE#thG^AF&Mow?I3$?M@3wPBC%gJYex=^+n#1X3RZr>@M*pT*JlaoO)K}{nyO7_j zp)Epea96C&$`^j^77ulsfv&5D?Gu6R8@k+-q3c)ACUmX4%6?CDAQcOksD{8{M(3|b z2sS=jRq_MC!jIVehuXp=zuJ&r$~A)n(W_nYQDB7BH98=ob!Hn1>*pXznLtcro-^|z z@44IEU&s?gTT)?_)Xlm+hX&J<1yWn$ebxGFs@PZI{p^c=fB4&su+XuyuB*e{mYnXAVIm5~=48kN=?Hqgq>P@bA=(hs1_z__Q0)h$(E9bRFMzpSLnFnplRg$kSU4Oz3 zD1j8W*Kz5G4&FJW6Vmp4oi|xoEY>fLZ)iwqx_}*@2*Fm|>^SFzHnT0N%3|h^)a6~@ zAFJPNZK$aWyox4J3AU2bGd???30|``p9zXX5R4}nx^-^c%K;uRhbRTh_%aechqQ&} zgX&M{-G$7}z=_lY;6w`*6BZmAO4h((-=|gDpM={=UYk$!8$O4e_j12VNMxify$_p0 z&^jNQ#cmSz);qv&s9|rv#BiQFl1us20T%CqwGtL5X4|ZW7>V)AO4Ny!PxU74iXX{+Q z2>lJB|HHsO%GLxfJwGVV1N6_Ndrw>4SKLBR)WGA#dxz;)L`B#@A-Q-sHP4_TcNfSR zVX#UMA)nMSjXQut?%8KcU2nYV2k{0R>PWqUYfy1V2|Ir3^H3o^y667eV?PMVcq&Cf zb?9*>x-NzSlR&Xs|I8fX2S_?Qbx?`-6> z7{8WVR&vxPtppgH)Hi>|Uufw|y?~dj2#3^`kh$iC)ygd_ zl8jrBs8b4-sOvrHF#u0M>|K$-XH+7QIGskX>8OY=q*e(oq(3c-FT_ys!KtRnEfZoL zVixkU@_Fe+Dzc&Xte2Nj-3?L5yD|_m5filVfv7bA z^E;^=d8aHfEGO0wNiqnn=&Kq9`)N%v4*S?0PEFh=NXY6LD8^+kDGhq=F<^Y9pFf@d zbHY~f)djzE&S_ggvfQMvIj^^D$Gf!^gewJ+2<-E@KYN(*-Tt=)8FQh7m-F-Rt)=DX zexO>hz17v>0k=(+H!(Xn`MvSJ{?=|<%1Q{$<8ym^6gv~@Kw# z^{q|Q=xFa;`Ex~jNnF?+pS=M+`J5D#`iWoMAGbv2puQj{*?`&y!wPc~X2*i6NA~Hr zR;57`S>GeIhn}u=ivm%94Bngn;)&RRw)n<{TcuBm57Tf2qza`5f)ZkjbxGOUqr2Fa zM*YHkwkwAF-2Kh$@a_5qr{OSbUv6zuRHLHx_B3v_as6{!2C~rZ4q>3VEl;cKzg;xYbc{vZ#=|Ih=vaD-roq)mjPk_50HrZV#E+Pn;onCRS9S6DobM!&JecIsjVx%PJ8q*G^%nc7;KQrl8OK3|qFwM!U3vzeP_fxZfX!%X>ZfxOI5k zaeovpe^+E{fWAX;6)vqtM=(y62*~_!FMQzv%F&mILTwCUBCfQOCvc5j>fZErf85LQ zD~WoO$;^BaaZCWqLG55T8S45|V9JQw)(oax4W_#YpS z@toN$p5=H`&kpKu@o42#ouAlKXK!EEUj+O^Tv5i-oBm)0>9PV%=YMuOND!ms?i#jD z<$`0sWwt0-G2ydQSX;WW>%pjF>4h5|GS$~v!2IPN+G7oV1onnNh=b3pSn^LSm>*0v8=!=2FyI z>M|{Lctd00ux>R^Cz!uo%!@kC_-1p~PZ9R_kzfKczI73_SiWB*y4P*MJ(S!Z(zOub z5igduvJ;HAs85Ls+4-$z66H@IPp#*YdH;GT%KOBC`a;G|_a-xFFDMSc8cQfE;e2oB ztACHP{W-YJ%Q@)PBp6`*Z>?eT{X(3L3^=BnW@xxI)-6-mEudfC6g?SpoG>l9^=@Jv z(PvE|=SR@VJz$Nj{_Pn4Z~*iG_62WYY@O>Gu|9o^E*OS`KmjymIyB|r3*SU zhHAZ)0&HZvz);3=gW$>&5iszSC=-e@jckpy#y+Vjru%OW3%Lh+VAQWAlg0l=7H)_r z!j9M#a=+mtyoygu;yPXtCkF#>aS4N2cJ}tjzXO`fo{J7PLy_pKckusv%VG50PoAm zw}lGe0UDIZ5`aDn;GDFqcSspd^E(yo##TZr>yWrdveVG&`HzKtefM;yaEc9^$jKQ@p zZmJ0WhMRwiZkMcd(-bdMous8<{?&WD_>mZewAO!iOY(cTCp``yCY6+Vq~|>&_$HTg zjSp_H2)K22rl&VQsp)>$OpseQJ)r~+S4sdayE+X(ndRn`Uko2!&6@%Vo4#YVBDBI| zxDU3aa95TCC&ejOG#-<+9SV6-kV&4_;n(iNx>#)2=hrKS-&A(awjEQ+i>u5{Ijby4 z)8mS9{It6w6g%)z2O(_Qc8uf2jx&mrWm7oEB1DO1zAX7Jve7gTHJRhsFul)!lB@tu zGQA~wx{eqB*f72iF`{U{0?n>+!DTI2p&|5~-P|NxT{WOAO+Wh=ZfXRBbhiXtVp6HQ z6#Jq(+jT%0HqRU5VItrQNG4~DYH(0SH^!)W)IT2j5?d+9T^ld&5{bGS*dii`@D&_9NZ?zx4o)00Cixx)KsPNGv@{^^xXV5hdnE7F9u@-zg4NFcQI-7p3w>JolTFJepNr=_)Fw=63+)e?cmJrh? z7gsP2LLB9)%rw5_2lYqMBX{T1*o7!`q-h^z{eMvDNI$7W`LIaEG=O|Wo4 z;<(n6MagyCsnGk#1r&Q5%kk)D-lY2#xce1h4tc^8FB%~Z5-t}cQBo>U0J=~cyRN9m zxcDW*24)|;zUz$z(e4kwct2cxyj`(zivl9Oi7BO(T+mOx1JepzWvBrs0(nTDIIbgWZ*9z0)0xCGbZ zLrMNsXE13dPyB;iHD~~4Agsnl@59k3;poLtVa~y=88g?>k~y(tu6tzVAj4ogs-q^& z;KK501D^#t({x?;N!$RHUY-~E;@U*gOp`P23f&U5+N?e7ZMMVOF$1@64>^ON)a=TH z5$hug*C2^!wF*6wSWmaNXiyXpBo#RNx1;(>PrFinh6rg`9TjRw19T_uiPm6E@@~xE zf(J?88J~`JswH(vbV!=738Pph&u^#~4Ml*mF>CyRG9Hq~##)$na-%b5*@O(PLxFw! zUAz`EVW$KG0+e<?#LN~aZV|8L)(H1Pz$n7 z6HnZt(MWdD1mqB;4+}tcnTi*I)li(yEp!9sb{ram6$SNQuzFMIRTatx{aBPX3qWs0 znkT`f7)zim163o~>M#vT&Q&lCF3JWBQxK|$N#NEZM>_pY^x9*LKPYW8I7mC;5ub?x zSU^wQMD?kMlnH=0ysy4vJ+Tp$6Z92OJY@DD&w-1vTe?*-lSTGIt+#xP!Rtqp1=v^e zqqo5c_e$+RGu{CU)gcP0FAp8fxK8c)y^uKY2=VRlw1dUNI}Jbrtb^4L)dC5!zbR8B z8%K4TsQyx=RkC4Uki(8cu(6{Y$Xe()@ey=V4b8W!m7U_J6>t^!i^VB+Fg2zh<44{C zUpGM4paZ*_bXeYH6LOQ?ai;He&SB`{=wN_!1PbpbG2kuFQvV|)I2WzZS5b^*#9Lk9 zu|<`zyEu64i>JF=STN1}BP0EfjD74IBSzeU0i3p&Q>Pkhe?`$=D5CDE%?563jHy?S ziMvW*pbTuXMO`^SK`Z6(aI2)t+*N1Io!z7v|IUsg2s9C6iK2xjH)f^FwXb^~@Ud zsaIWNby$#h5^k;X-i+8Q^Qq@RGxZ`g=AVP>m~td_`LjUyNLaM5j-=324t40R4>syi z$;zR}LU7zZax zs(@f4oOzGZ&#_%G5#p|N0_;(K2?TshLM@zle@SPBLRT^V8nK&stc%Wb*APr6D4jDgMzXc@=w# zfmyv46PFUQm&x(oxO(#KOh;pzD$QUWnbR;L^i6O_YyGeHuzPHwPmT#XTE`3(&?9;W zV}QhrMpSIE&$QD-vH&%8QJwtj>)%XP2|b4R2Oc>aY!F(jG$+urs7xk#8%NR(ojJ8A zVxMW35DS@LBogURMBz9Hl4C|5ROaapNBPPbIVH*k+$(~f0*!4IP>mue9F`)%$6ef! z7*iiLjMc>`OJc~>#fL^~JY%tju8Jshe@&YB^Le{uKmOPZ*F2)B3^~&V z(CTdE^_p4gVz6yGqcT@3SZ#sCb|iF7GRm99SV&+Gk3Wvgo361iYKNL0DacX~n&$nV zpOtE-i8sMxX^!&ab)c2m!s|5?+%5{raPnOi=>A~=x*y8V4alA zrfcIMU|()0S~-K+emyhuc~Bp8!z$nhWvbUT`p#%adgv1xhv6rZMe1A9m2X>bwYIu8 z;!dQVJImq;x27Y4@j~~Mi?bVZ4A;KQZEmO8?i!}L&}E*I@qD59B5}P67Kbt2Q!>5k zgyAmhqaf~XDd@GK0RHy_hBGHsS9Cw$r*ZY_6r&gIhm`k*bXYpWXKf2Qkxx7YZ z)!@ElRsp|;v#kFd_1Z(e%~5BZ{6{m})^Elr5cA$reyJfy=TUQhHE%2Rxf4Pi2lmF3 zeaV$+gNR8Ox{`OIm{$cpDDuf}{Yy1}fM0rx^n(Av%QE~!lKMY;av1(ai~9f9l=Dx5 z`oBmX|C`VMGJDL<#QJ}9<=DhxxBU6)>Ne!9c4DJJ-NwL%Rlk(1C-JCESdz4tz-N!h zBv)UpBgwhCJFkhjNHbxp@XC|}qZ`?wA*(0+5XuVvbD*}CS8yxa8bWzHPhm5sO1Cc1SX zPW#dsn{TW_5oD2VM^oX4Z~t7l@VXryr4pW{^p*bX($`<@LD;=KX@hb!}50hvr5|*-as;J)5zmBG2+IFqDWi zBT=gVk3De6V#^_J1{N+_+%A8iL8mCuq^xYL1*kSC1NSSP=wT;Xc(KS~scX3?a*~;< zM(l#bwgyk=yLxO#jtSopz~e)SWByRtlL<-jHZ^jb&Jt!PK2jda#p-YW3%~;XGpO{W z`eG9Px83P(gG0`34Rp9TzZ^eYHWqNkCrZ@`e?lSaMnkk$fj>i)>UF*o zhJ&=SRK+UwoUv4>)AQc5@eZjuRby^D*V^r6*H`L-oO{uiKR}My`RIgP8$&nMTp3h8 z?)K+Ft`!}h*cvh;1-xjHTp~-n?}6(X=tXDA{IZ>Qp}Va^uXeA`F0jY1N4Jr|c{=7N_b>~t3`lEF5qQKo=4j$;_n7B%F7Tn8V8@U?##*I0#a&)ruysING zNw6XA%D3Y8g;|oo#Ga08(}PsEXR8Wuvh2t)1Qq_sdn(ROj<*skdB~{ZL~B7FYk)=u zJrmLe3~QuP1u5ki8ixao*Y;)WL9c@G#-=97Hru2UqM}G0@T#)oxzTInP%R1)d4{B> z3EOjo6Jt{h^1pU$l5H}n2{;9~>1h~STIGL4nBT`xm?j2BqHK)+ zY}k&1Q;{9QtPQ&mg?zBk& zh2K09#Af2?NRPHfF*Ew5PfTmykWg=xL~7ujMrtu}P-xY~C7^kA2Ygiy8hoU8{(wAF zY;wSGuT=dA3SzQKmDpY*FfQ9TR~Fz(OB9=y-8`vAk?S$CQPi`!p`ZK63PRL+j@;Ug zHB;_d<%}t0rbH0i-rXyBUj(UY-$H5c#_kE7Wr1RL;!HW<(8A7c-lfqS9)mJGF|~R$ zjxu9mV5_!s<#;pGL|M33X_?#QNes zD4TjicLQo`&W;&k>R>cU1Et+yRs&>R^zn39I_6Qy-C)HPW%oRpAMvPQnNYO!purnK zii%8idj~ew(fZp542b;;czDLEVN?rzd|ZLx*3lEej8?H4F6x2k8D?eoELCM z(9-Xb7C_m+T1LguvrUvK=zVs+l)jL>u{`T z;iAvzu|np-K2%?cyi5YSSYEmyCKH={-BXDKW-bZ^ltboZeosk|Tmm~95^pfOsjH&P z%oxeq$l(F|ZxM;@a8=q_QBc{RP0eg4M3rK`6%sEsrK_N9x;RE+yV@geL>f9|Gx69c zF0`NQ$V23i4s*t_mJ_{4opo3AV@%YwI7x~*c6`*KIf60f$*h1`szi|@CM`2b8EK7l zYAAl7j(tj1VacT`L1J{cP;gSkl_`ErLy5s4}&2QA^SIb3n4o5XAw)tJv%-AG9J3lMvXk4XWcSO6|`axiP>F=nz**LfnX^HUtX1R&}l`88) zZqlhXRSM)L&FH96af!(NWx2|Zts3i7Zj(iqZqw4lDTc#!_z_&`F^&t zOufiCy?oL27T+!w!;aNOy6s*r3y#2j55WM<-NY#3*)TyAT%iNbGVPJbTx-iMG zLF1(5%nj{lQ!=qp(I`ws#4Ym-I(3|U(*di!&A|N>{5O6oEac$Ij*kGk08?HZ9Xz3E$_vZGL+Utufmz%Lq; zTk0^7uEzl;ZhMST-m-T)xJ+Uxqw;A95|V?{_Skq^-(RBRKv3Ktz^~@v1&cqJ-FqYp z9Ase@LRL7mt1q!;iw_z6`2NMJqt3Rf^abEjl`og!$8VF%R?;0>FkHOgZ9g}au^uWN zYcGEWf{`V&`-7p;7aucx2m!K-J+a~VaQyJ%jC#*#>RdQ_vettEc9|E;9Z0=eKb0f&O^;I2H6{B;lkoS6ltHrMoSc+`>ADxolW5MvI8w29c% zSZ|8sKQjkJg{Dy}Tu^9s5Qz_fs1XqPsIv=NzZtD!#?q+>6DU6FjJ84q(Hw^XLs1y% zIDwn#2KY)j-MVe@uW%1v6eL+Kq^*!=6j!x$0SEFi*Oe=WIrGb{7@9iJZ&X1p!l z?x2$2T5;g6X-ho_x>GfO@V698d4S}7=nw{P3)$n4T(erDY}IqW{D2$H^LNCKu_fa6 z&FUozn)~mF8{_Sjt{;7TYY8P0f5gBrpY-)&ijmYVIuFfi+1^6S(@ET|UXf+Pi9cG^ zQi@@6=)<4EXYt7Kk%zcm#K|Y|$fT~=Hcv0feGUQIrQq)SZtJlJl5w-Wo23#lPY{D;HPs10RNO+PKo2dCXc=({W z`1}kmQ&Z3S)+Q-s%gbn9%PPt?55hCYEQS}9Q|4_|T?|QVB;-s;E=^A*L>i5J%OlO% zJcvu)R^v0q-c~2iWGS<7?v->n+eeK!R3?)*lvO8fRoxVOFH&>P0#`5ZkgQCkd{NKk z?3MBAMx8i<4nUy)mWA>CjzcckuDtV~$x4A18gD=^<8^I^E}C0m5Lzs%%h=HKQL&bg zwNbfnT_i&tKn;j`3tW*|X*0KJZF_VQNPoyRnog_(*coOtcDgzTCB!09dY_$1qD~Ha~BvzQ}xT* zM4!8&kSDdEBCDjd*xrZ9CRIUmH6>N8tK_OLXwoa|Ewt%`DDwPtY8sT=yJUnqlxxtq zm)2-(R+c{;mP3n#mbER&WVicBSoR9}NSwKD->5v%7etC>f(bD>7I$O6EUOdpL65^6d|(7 zB1y393S|}uW(+9t29cU49os{}ZJA?2rdcKq$6Y^q&R|abi#|_G#Vp}j4!HGmI)tss zX~;EoNorve2}p(vWEC$0`{e z676A-X%@_=JZJTBT#xIBU=SKd86AaX?cT<20*TRZMDaYpPC~MK*>Mdh&y$Rx+2oSWU+2&gu~G=ih2g$FFk40OGN#a|oj~l}m%C1;su$k@7%D-ZI2By$&* zq(iow9elM?ydwh(4%-d}$e_DE6h`Pad$SFxh31jf*%(a&B<=+~uu19|YyUO(a)@d7kI;8E`+RluP!yat8G< zG<9r?kEoXi7I!ddnLq}~lF?&^&D=lStQJJ7(dmIFlk43eW4qWjFxwc;2ghCA#uMnX z>5RtpVc}cz%pahb!6<7xLQa2AVgZf4PcczXW=R@8FEd4VBZ*!&NlS@Y%Ux z1E`0iejMHOb^P%88l@>!6owrgUlAv{K@S@bmui#~!|U9JOZLs^-iFKB8pcbU+~$k< z&Q?MFeH^mRfx=nkY4ZA|rFreOyo~E|`x7M@5`Ejxc>&?XfxOvkK#avs;5`fa^%HpX zas9fOo&UOz;~iG{Mi_SQIOqSnDfTf;=T2N)fK8L&U$AC86GSmh zgmBBb-~2eJl8EtqnOH9qdvfd~2xN`JJj7Yo8sYZSHGZD=Uv%3a+uhf+U$^_~8Ci(m zUHy}&;pw8%DAY9nuNR4D7@P!cFwhwx2Z#7t-%h6xwqTenB-)*z!Y@An( zUMbg?S9c=UJRPmviC%I+vSV3MP|*>KYzf!B>T_%hG!jiQPY*+djsavSU>hRMCB%}$ zi}KXC^In?mo#mK41$zrsS&%9B6p77lT;1<;%cT_K(4W`91igK%;D9k%Zf zR!12Kty_6)ZSfdG#l4i6L8VOE;bS!YwPb<$_`M$o`$P4-A1NQ9x4GIx@{n)^+?LJ{ z)Od5Qux$Y#0CgI`=TIiL0G{FkK!VNsX;#ipLAOY2>$q6WoC(xZjh@a=S=Uf&7fL9X z>7kq^x-xCeZ2+;_Mbzl2j?+|>Y>H^~m9~!{O%J&nEX#WvAgsL&KpHHwH8>VcSAkhE z#i>$N?kC2|OoxAL$h3y2^T<(4yWp@IM7zB~8a{H@(J#I)1G2I#SLrWJ=;_lh1}_7n z(oC@oq`$sH6>IKl))wx2;0Av=+y(%nJrA|+tC!GAqs1&&$fKG45FQEII8w{*`P1FKA-W*??s^t-*Tif5HHL7KPLbx z>G1RqlvPWnxx~qrV3vWHShmDFUW@W@KhyxexFNG6S#WdiL$KJavl$D4DnlGO=n54| zFpiYz96qePC*Akn&EgVF({%Y^TVzG3GE0TyZG6F868GpQmmWB})_X?<`=fkIaq!GB7|^ zrA_x_HQ8s>=7Q(>%c|3rSq4uWC5>f$-5^$!IePt-8D^?7i>qvT(ZLs1r7lbhx()h5 z1vRJ@qiPK2$EiL;0WvDbGWtdm&zPp4&<)eAFsM@9TDAFHmP-s+N2xsa6UBrVq>Vno z_n5Z*&@G`zEiD-1gmXFd)fo2p%Q1?^Y_I#gUpNS{zPTxTG7Rq0HT>Mm!+e7VvqBiW zgvIKfaeOk#x`eKjjQoBr5B#C0v&XF25niht2;I?xsd5pJ+VPb_F1`M1l<1gOvm_bODZAv#|9nWacjJ?tJgU5(g8ljN_n%OL5 zD`?^lcSJ>u>$rN9>Zk#yEW}O5D2PTFoUP6#MvX+6Z!HC+Ed@XtsD%O(qK+?M?*qHM zYmnY=lJAfmQ~l_E`>Qw79;CCrgiU(>J#1DBDS;Noy$sz6rknAWYLK}*7X6VW(Mkgj ztyA}Sd8Puf6Y;3A#ks*ox{i5=#dxPOSMBj(jKdS7gTbR7m+r00bn!IT%Xg8vJBR7) z99d@q#vLXNh3aLIr`t1qdm7_W2BhZv)>D9>808~9TaU?&JF%oDRwEj;BSd-=<$2Be zaDeD&=aC__4%Y%6*?_PRvpjApS%%vbP?*4!8Z!>laR9p9w+MCvRi?*zcEHFqrKtW2 zbif>=I?uJ)aL?)Jx_7_`)k<2SQ6C0b|0jdx5~`+0PjF40>fT2V zE$3y^S# zn8S3;#8Sd6BRK??o@k$xz^N()O_oGATc!y}T0z2V7UNhhZsAz`D@*jDxb!4{PV6sD zvVUcBt{$a}Tit+>ZM3pUX3nzw?s+qT~)zx>XkZBPRxuDN;MsttNvfl(rE)oF}DjXi-GH6Y&85$%e-17 zw~0g?Lot}O`BIoZ%*^r?bx$UU^h%^Z%PRxYQc&jGf5ZN|hGs_)>lcgfQS3|l2eazI z%y6(sP*@rfIk6zhrIl;dHZ#e{^Pm7$&g${hbVunNq`)$z7yC)@Uz~e0A1)ZgEQ{m3i(80)B-D1Ow`LZJ28luKEP35$ce~y`+h%XMQ zSxvAsg*cAgc+%0#VSW-R3Rd;AJ9Erv!;{SISv<@UHx*j0i0vCBOo#PZlwr357Zpk! zVd{LdSLV+y;7C)2w-X%xVGOjDm^%@!1CH() zxX9eACT-*_E#JHojWLD-EF@Q(N%|7skHwS-UFhto{os5^cM)q6wzVrJiST*YI|@b^uOK>(sa&1uy9f= zD8n{D*h?Eq24Jd#waOVra3!QZuUh9$;6$?Y7@;O`f9y~?;4yA6X-q_(Qkx=Or4AJi z7?A9?SV;dd`54g0khPFzNE_;y9$YuXY%o!!h=qv9-X7DyxliraIE-{2Gm04HJ_6yQ zT0ZeA5+)^?Fy6dPAYl|qemO^jx{@{CI?kV@__NBGlQ;?-teRP#HN%j=k@?TR25Hj! zz^pi{`^`6HQV@Baq6Uan#f?8>9S5+1iDTBivX=w`OHJKjO0-C*2aGl9JI%9M=Lug7R5X-&mVIGU-pgcYlSoJA}Y4Ep5?&lWO2QNFsdXW*>@EGFha(uNF~ z9g0qg6``y$kBlwi3*KaW)0HYb)R{izY6V9}<(fkL1y9XUJbN#uB3>>1l8s}``cbXUc>dZ+=2f`jhFqCipS$m~Rn|W? z61A7i>u`Sy-6ukub7diBS&TEpn+X?eWg8BaEgKYh^0LQW1+2@fMQIS|Y87*AX4RCBbXJjz*2o8UfsLxJWjdnrkAR zOClMcYl4F5dw9}BZTfKTORZj>{#?6D1ZEz+N9=Q%C~Mi5B%Wb*`5bWRCgc{scI~~$ zt}c>pFm$$s*g2ZlD0h$x$lZVX?{kr8YS|AjY@@es-_TVQahcsp6L6zo`@q=th>7FO*Fg*Z z$*LWhz_WTDJT_oz9~@p`&aJ1Z9_cMhBy#&$tT($E39zKgQF5mcEb;q|nm1psA@VxT zdrk55dfCi5uEflfs|yWpuH_VAxL{fMSzat91t=`d~xy&)G zKj&-SY{*hV-~bem&5CYXgLT2M;!m1>?NM`nLozUlf|FMui*5mpMv>Hxi1&yLVZL-z z1)P6aX@3^#wC=f|%(T+%)UK>Hv2|o#!Lb$P8z9J!ZU-pp0f7F}W2Wc-k6!oRF>e1S zqk!RGL&*OB)tUSYdhnk@3jWRKe_dz7%EJ1;QwlUxoYxERiX|9ziuZ?QeD>v#7 zg?NW7y!q0|A?lwG}AJepszKHjT5>ArN>>sYoJu3IMh-Z$^i_8l>k zE4HJ~F(Fd2*!H)JDo2}>om9kKeO2H9x37jur)z4$)co@w9(9(kJ+V`p?S)(QbQFxfU;IUqBS>tYPh`Vo2 z!rh?XFxYo*9&W#YS74qd%M{*bNu}ZP64b&mD<7cq;UomHu6RG3ZB8#sIoz5is&t^{nJW-? zRcA4k&XOa#EFwygmqza;x_gCrgtApOiLHy{k>5*&0_esE&7ibd4Wx%Mgm3>t~orhS5$g~>164w%O3^RKnDkwg7obCeyWc7 zc8p5S5B}duF;Jv~(6U8fn8@L{B}bJSPG>u9`raVaD3|Eflxe(;rN<{wBI{Q?SJlVb z{J1i@benwj`H6s?bIwtUmZmOf2QGf2r}X#nJekW)QxG`l%hBWnr@C^dH@rn)A4YQp zU2BJ6^tAMnQ9J?46jtgpHlh+9@|XJSPV0vY?J%;3ELxfAji#|G*GrZ00JhD;8o|#T zT)$Ob1BfaGooiZ#w;Pb8&o5;Om)c=&MwWj{Hl}vGvdmPS(ad?by}4~Idl^4^ZAjQBKdYXz=c>eM|%We6>xRC>(|%S zFy<@PQ+gsw@OJ_&z>9?^FCfJ~nagKvA0(^Ly~Yx0sNB7x!@XYbWI3ecTT7 zeZSr8o-fy|y1DUnf8e>kpF?Sf^ta=1C6=@YAZ7l} z-{ju%PUuE}bJcX1mj0r~^Y*$|_!%Iqzy7sE=l;G#Cw#ApqBglL9O`*5`|)xzUURF| zCZdU4QDOJ_Pz+Xi>o$`+GJ9^O<*p4JsgVP$?)!f6HeXznU>=P0lM)s}J~nif*U5ur zE+WoPoLihp&pnx7MXJJiF>hUA;BOEjnNYjgcTK4775b@{vPZ%3e)GX{VbxiFX2Gpi z8~En_YL~(CMZ5*vtA!YdO@QQtZZ-f(qy#S*BTve_bx0c{O7zSie{_|j(8kMEo+?(B z0@Y}HD!|Ypa<9yh^oyicAHoSvwt=C<3qURN)(q$xBg-VA%u&s)xl`4TXI>10i%(Bh z{|#tnD822vJ4^Aii>yo-%Blt2Af}@?Z(R4T&Oq8Y4$2WgWg) z*w7v`b4G2dBw~t`5(kvQN(kWHq>h!S8ngsx4c>(MV2~%O3Y+mK$h;?Ro2ihf<2jH9 zdX88cri+c@N zU$dNR$TBCmB)#PW=xw4tcoixB>0=q)qK>KqWJOu{T+9OB8|GqNif*-8Fh@Krvk81m ze#rYuc++SMgQ-N&*)nNNgS%c8z~a9^9pOjVdJiHkwZ#uS*L!!4=`1KgS|UbWa3IMY ztOL|}8fHR%$Pw4+)?}9KGXvOL9l$nZ7<7-FOGryzr27)?>f&@8FN^|Y_M3Ij(9?FVycLe*W zr21cbBK50v-T>OBjo#(+?Z^4B$IMlEa!qj@Z*`ESl}Nm?FqZlv9Op&!J6R1%4ag9u zwOeP2SSj9&tH)MmBxetL0lNzOs-;jB_f-yW1>j_g%fH`|YyuC4g7G}?34nb{PZiJu z;3=q!{zN6@6>}qhAiqlxv=HkLa8}?n$kV$C;j&HE;MA_t=6DNrbtGF2Up)+meyZ`u-bh=NKe95Vh&CZQHhO+qP%!*v1{(wsFU{ZQHgzJKt_CYiqZ* zYX77j3CH5E#WzB3t7>aT-zDM9J5De0=v)xZS#CXlzf5-5v4e-qoz(Hjli+6^(MzA7tZ zY-mci=t^Y}#ak}xJ(mPbQ4SgQxoDY#ag6d>FgTDGNzzhaxduQ~4kjryHvs){?63*- zJ}hhoR$Ap?tLP@GenV}BIRES+M*z0`Gi^AD^hO#PiTP^{!LS-aFZxKxd8kL>z~BZF zA_?j$0s;vRXr;;4eym0I>jt7HS?XE!o!|x$p9QGLCH8|XWtK3oHP5JJsLLjYa|3a( z^e)>FV;xjmy9w0}75Nl32y_+9>fw*)d@{|(0?lkwIoW?Kq)d*Uty&2 zT1fWfO6qxitt&S1U?*Er`a#U)pjbNbQhL9I2Ke($SMUt#^({|?zqdA5l@rP;vG-^J zWr9fm`apku28&W8$x|AR1^k8thOT9F;Q8>_UfO)?{*GsK9ij?4%sAH(fgF1$sQI`6 ziYf>Vp+Q6G;O{c{=pyhnw`I*ykbLz5T9cFWC$3k{dr1qWvKARJ%1}!WIZCt#>d*`o znSzGM(0)Y-Md(LRL2;^S2jKmVT#v0#GG`YKF*1>ltp%>2o;17%zLmuxS%tLPz`H&L zE$uuZ+9T+J+Nrfp7A^FYq?)rwRq5!ex#1V6w2y6eCQq7$EGhIy)A@Z*^Coa^zvIEw&=x7`c-RVbm zY2-kzCpKVO&YjvcfxWG*Y0>Ob%3xLtGTK^{uA?f2VM&o*cvDvvRt}SDYU&00>JkF~ zF2p<_Jzj_=D?la8HV$H0QKYX1-mPn~kH)Sn%LzB>9!?fUM3@3T2DvZZ+)l1aX?WB* zXPX-08j2P)TkMb-tQz zqC#d%jcD0st;uK^K}BP1>#q^2WDMQDp8I=%EcPb1%35sFoW;97*mp?hW}!;m026K1 z(Sm9E;t9EBCq`@`+BK9wx!kNvjwgY7*Kuqrj9kRDN|elEG4il6095Jnn5g> z)jv$LD9fKIAp^Zi&DAC-(JG;OlMj5QsnLtp^@2l}0*&f(Qu7kGvLyUgaff#{8#Wz) zwgW7$6&IAn_nt=P>b8n{fSq_T*SrgT9vL&$?D@`ZFA(}MJvP^ieAZkSTiCq}%99!| z#o%yO)a>Of1+*Cdb<6?;$_ioRKoS`V!7D;X`G?rH7kRyWkWJU&2IFfLxQlO^^D;_# z(pmuznUZn|Ph;p+br!ljBQX-COai|kUJuxhU>)Y@9iZ|xc@`U(nG3A=G~hwlQ~L2J z3~vG^%OFDH)!DrP(t3_MWerV783s`M9O}Zi9=R zH)C*Q(H*toLR7}rGwHbM$wI&8Hbq9xEqKv@L6Sb6>Lku6Q9c`?n6{94d2oebVZ4N< zL=~eC=gS+z5N+PFF4h^A3ACtYlFZbvGb3Rdq>fF?Q+K3vMggey*$9C&<0A})o>I^+}Qw+5$*8RZ?GP7Bjh}|*2TaQ9}9L6y!)zE!cna$^}CXe zFVOn^t<0%`Ol-)Polk@wMJ^1UP(_I*1hkga=iA^tGq0eD&(^kg_FG|n-qttMhi%zP z94bZyNw*ddMfT_CcTZ5f`XT)24O)ihn(wX7H5=K?lI-!9I)PxfkI3P# zxuF6HxruE)<>)>rkHm6gnCKB=>Z6nC_r>W`EsN>Fg`%=m4(vt2oMVNG6*f-_#iI?n zwGOP+YX~Mvp17h;V?LE$6lLJv`M$AUJbrivM4n}vM)t|bfzkPZ!-|(DI1qzUD?@Qe za|mq@?=GP17Q26c&HPKt0j)|z=@kZot7xwbO(;3_E0@9_xpu6=^dOzj4i;nPUW!YH z!64G%tb+FOAk)fW&A`O>x-n^3oj9>P`MzXax1}#d(v0ME4S?7%TC2uP6NpUUxF?(9 zWZHsy>#Jb7>{^r*Ti9n1711TzyFC74LUrTgmNv>vI-X7VQ_fP@yJ$&~RrKChUR=QQ zUjQ>FV0LI>=fWO6D)_t|D^he!H{#_j2{^kn8I?4>I-EvNTKzZtub(Z?-L zK_gzA*{yB&_2U6n&MF9hO223IvyoBFaH-IfKovJro@FHxUcmYIaTyA|GPRs6(|7;M zvh93h7#Oa*&-La#U^SxEujsw|Z4^)C2US)AVOJwBA_$XRQ_*P$eLELjdWY?1W}@_C z4|ufJ!LRAN(l9;fxxG55N<*FK=LtON<@Z6?S0%SK>5(^t{``=RYv_aQU#pR=pIM zTH+u8KXXI~^-@*U-GjwZ?+Ki8N~0$;_^?T&R?p@4yvGZ!y7BuyoO^!I*B@AxppG(< zn2*aN!UQ;_4_!r4@OCmC0*21@$H5C<>-&zMHjfc0Q)l<%^LzSo`}s({D)jsQe!wk4 z^IPi|gMQUB2yU4Nw8HMa>LY&X>HxI@Y?V|1iqX1tP3+k^{KNjXA^ZJbi;urvbeDTi z)E!;EDFePdO~XFq1kQHXU3QTeR!Y=IzX)fsik%M`p*=&9f|7VC0Dfhvc>0VX!bz^z z#!N+M!{pg&${Gs{uw870$I0aZ88aLX`cAvJkb~QF_K7-l>LM)N#!Q2~j zKDeBB_y;R>^NfywtOjmD&Q19da4rHRptVY*Arsi#O8W6&WfE-V@L`-Jn79PUv5jM` z*RneH8=IOUp3x^nw#)PTExWSlI(8K1#dY>+7h)i4)Aok+_`7|Eg^_DoQ_q4zGfxE6 zh1j!q2JGpf$Igb{pc!VNE2S~XXa%P;QZb5oB}ToBa&P?O!8VzwIJz7KXZDq&$sXOR zYay+uNG>z6%c;(0%4=SuKf~^hGGHO?_$17xc&~9?b)sPA&G+I)RG1Vkt4prwj(X}F z)${UsXcFCaHq2+dVtgD8`l`&&>b`I6o7!!2A9-@-Lx~3{=1X6D%=KuITUcwWw z%9~_BE`bA`ms>T=Ti=x~y<-v6${x8j7S4_C`=Xyq3=<8AC4q?`RQppqQZnCq)n{@@ zHNPM@(U}DZ8uZAuU2MU)tc_*!5Y%~C{|IfEQ#%p1^NA2^Pzn{^23sKt4F%VALn@8} zMxiBlA&}rHcRuLhxRHEY#lB)%rwvxOYGl4KN)U z#p#rTjlO{317JpmhY;{4x>8Ei2qz}qKr_z=>;0XpU}loeRhw;)eONf z?s%7co&*Qp;IikLrVoPHv()IU4ze-)v>4?B3rZdlilgH?MGjCg_PG}&AWhX6)1$JX z%^={%>$lkbP>Q09Y0Vvc_s&9EV3X)$+@}Jj^v8`XhV9cyjF;a67-r$p{>vzrmjzLX zpGiSEKtZY;$u3(ftI3ah%im=Y#qNCEdD z%UM_xjka|S5c*{-`Cx@u6l`gL5~Tx4fbKuTP|>0Qb(8K`-mX=n8iDl581g{3LHQNR zRg7qWQK-X-0H5xiy&8cG$>{Qdx8+#WbidWbL%-D|Q7CBAx5f4Qmbb8n0Buzo!3=1q z^1-uFs;JTkB^mpY2)-4=R1u;8#=Lhd0ACucbpQr6q&Z-_3Mr{p;i3@6q<10^M;e56 zAcj=LIUsu{KGKDa10rnzdGmFs>VhUDi!A=J-Jk9K76x@_!?9phvN>aPfR@fl1K|R` zm*5OsGRct)V6H-8z#pQTm|IqWVwH7yUR-gGBvaJ=7>S+AhTd4iCBxDTT+x=R8U?yUzir`00-HeHh+R%YcV07q72(UN?Skmt9~I8+<~z z0_AN(EvUVVJa7>dv}p_r2EMOrPapEn46?J$>2D!*!huNYi7^mKY`5*@6uI|ZT3R*Q zyf{{uad{9$+_?QCX1^s6LoOl?TnToyKzcw-=v!vS2*oJ;);c6_M6pbwNaTP|iP)rF zX@(M@&oKty%VDWa(%-a2FER;EsC#Hur9--Yi^LT^R550?LpnfA>CMss4gwqd_IwDU z!!C{d!5|TK)B|+bi-Rh~YO&6MpvpWcW?>0mMrIz=@e$!R^g%GwwfsOBjD~@Q{7db; z&1>yIBu5$E%v2+kqs06M^n55`0#X^M0J-4%p!`L_*Zu``QV$* z0McMjZ#McPit(9H61YACO`M`6IfvPB1z$!IhogN>OTU7Sq zeE!Hnvb6xB}x?UI6?fb$dqrt?qmx;v3Gh0dI?sZ)p`~4u}|CD z!aZW=b8iRog!nC&4Cl?~zhnd8lXT+#YfdEPnV-KyzO55U%-lwi)n&}QcQ{TeCeg?4 z`x2wu$QS83trMXQZTlpq5)-QK$}0Rw%5{43$Da_?)5W*R(HBvk`Y{i#4yT%!-_Nrh zi4(AXp_Jw^0W_&Hly@Z{g5g;{2qfs>g1p07)KC?HJ90qv!&3^RAXh4r5kruI{FK}i zdZRI+z*~O;f1;f)zvypn0*Ej1k4M@*?VI+3jXM{SvZ;_c0!SkcFKOovJqIzkr?W#` z61rK@NT|#=r-QS#uA@HEZ#`fXD$ZQpHjhjc@_wY&*4;0cb|&2hiDfvo6*406A36b^ z3KXm1TvO4i0Dm!gY z9(c#1n$vwaM{ZZba&L?P^i9%yz@Yrhcmh3uq2O0|SL%AtMlMu)QSqw$yFhzi_6A$7 z@zBzw{1KUkU*wrlev)+fwG67;x9Cy6cSwxPG4ABRzF9DcdcM`Fa}1*Q=#^U$nb5m> zx>Op#P@dMYImP=|-LX8&>-y+a`1x&kpjq%qRY>f#tDSS)%z1Nlm}j1bYA$WJz?ND5 z+~A=<`0i0sI^e;b{y<#CaYK24t++OJrrJ?LaMbyD-aXFA8O;_r#$Hl@5MKVE#n@0V zYdX{hZJBLj6cI`4Ua!}-It}&Gh#0MvL zXzMf$pCk^~G_QH`o~(sg8PV4>W(uoxB+SdwtiqA23DqR%p1hpX#$2qM)52zY^3gnt zo8GCUV@a{nH`_^dX67;4aJG~6yUG3L{cEYM>*4;Zxmmxq)|zud$n9{EZhS`9o~D8U zp4o)Q!$0xmEB2JIRDM0`;507i}Huu z-!0SONlDw6WWH0*aW`agw$za}C>W=c$zwMZH)q-J4`6mPHFl%{+n5{k^$a}f=^>A5 zcPtW!D>}|sILNLI{mUJ&A6BO+uR`3`|JZpxe<-1G6A-^O-O=H7fj2NTP47fX@O$nf|Dojg zIV|<#eSJPIWLp6Rx_A&eccslG|K7f$$Esi1X4wl7DKc*V`$GKsOmj==Eq~u-t%K`@ zt^QGNAHU;FP#kybbmdEWj1nIw@-lFt?&|enwV?lAE z9b0E;rFf?$)7`7H=Ej;w=U>>5$ERB);mVF@UT;rxx*%ZUVN~pcBwioNQL^t!+U`35 znFj*$rG}uKJ0c3>9;r-Yu=yULyqqT_s-zm?LOBf7z8LN382o2^VQ55(8t3T3k-yrI zxT^-qXq1&e1;P@IWo^0HmLZ#H20S4a17zx7mb@eM&_(sT79A@RrMzV|J5&<0={QCZ z1SDp5kaNcZXfSHc!B>)A5D>>-XGchiYc0&`_e~fMq{c#qBJd1c~xe?48@+%jAx_@EX>AQ z`xAMAM(c5vK%6^vF!{Yy(CwxaLn@G{X<+j3s4v-O%`M}6d=q1w=wADp3e{arVTj{9V`Wa10D_%K9z4N(mtx^+IP}7Er7_r z4idZ{J`Soxqeb2PZ90tl4xURC@id!rbUuayaf{(=OU+6pNNZ^ok0c{lAW zPbmRZ76rAAfGmgzbx`G=7%kX}oyWwzF7XfwF&lCqDr`R35L8n07zj~fINt=EKv$(F zdN6^NQ31_J&NI)12bAzH)vAVPe$lDiz5qU@gdl@-jU-25!IGL%K*3U*PCn66iGDx< zs@QLT0ZoHMXxXG{idI$@35i-yOL9&C@j_=PC@xe6N35bv=2>uN!bGHK&g5C7Kfy$# zRgafRtWy~g!i?dmRCDmzsTim!#^)}B&9r)@(WUUI=rCnaa)}cPX&>{pf%&2{d?ZRS z2#%7DL;x`=v;{c;EQN4ml;2S{ohu2WdZm;F02Q8%LHo z77kPWfo#Vb<8*3_@{Hgqmz;q(w(#ILq=_kyYfui%`#{!<0-;aERh>iOmEC>i;QWUp zo*-%4Yfx((o}mO81DzPjKM+>eo>dtC7g8QtDMObSU2cfd&C$~igO^qQp^C+)9+X_7 zOt)4Dm8N)kJZd}u6*eI4;UcI#>qa^ZOyzt(?)}|)ChlAQ*9WDk;`Dz93}O5~LxKNS zInMtBgSjaaM2c=HC5MI#T##oF3F?1hFr)kjFeLh4>w0Xi(0^ty(+%%h9&Fd2(0Ee+ zGMIIL8O&K5z-ODJJpL%4kS3}M0aegeaTS*%ta5C(up`EybT+@NkdxW%J5OJpfuxyG zQGx0oU}N832DAA(ZP))!i1R<7QU1FS=f4=V{Qnc;{D+6m|IP5_f1s9#S=u<8I?{{T z7&@DZm>T~vG5v3}YZfLZj{ixC*H<8#x3tA9XocZ|Y%Y zM9&5hC=!nhZu~LqxZLq^)>4rfYu1oinwe!B5B_%#kyE(7(oa?Q=KTbf*W0U=DDDQawt@c;1iZem1Eet0Ml&XKJ^ijFU zw{5J`a))8n8SL}DrNzt9Xo+4r$&c0pq5rTbA@acou;2*phVd+nQS9C`E79TAXYlYa zXkKn%JHa@#jNnF|^*=+DzGd_F0at#oKYwn~{64*1X!(DyYiRTKsvR!#&be_M|2p`MCoErL-X55dcbF9gEsqX%wqUt`pH;n!Tf_CHQkeeMWL zRw!ZoEK-0p#U8iz=eiRENJ1Gut1`;_gzo#h3R0Hw=UKqCCsKeCY+Uq3AS8vTA1arn z9jM|B9jJ&UAGQ!x;tf3zcMejCb>}iZM`-iq&YWh)?;A;2ep}y=oYE-QZ^Va_khR{zY9lp zsKy8I3uw&p8g>&^y}r%}2F19+2z(@`45J(!Q0ay+9)%UfXdod}mLA{Srl9S5_ zaU>@+qTjC}(D;g-9LH4NiD#Tvm8Wa{FkTyYH7DqQr_Kassj8 zlG$rq02kpef2`N(`P^}Hy7vrzGW-p^>AvS0uBGGP9=#XO@3@!5Wh3k_NAR`N)8V=E zo>uX|2nb|9vt<;>{E4QH#Zo1Hxi;nH;{MUSHT==C6NJ>!#ohV#8(O-B#`?Y9-7XCb zH2k~P^Nq^uHy3@;jDUJL_3fwYr9>-h=yPDaO66s_j-28D*t+=fXnouJ_|yG$(Q)JV z{yY zVDUq1FHrt%y*FzHbnq7p9Zu_;AD;`5RsEM4Q2H1B!JqJ*Nd7O%P9P~ks<}YQ-GJyk zrq{WVTO`D=eL_I80jc0b?rfOu1fXg`MM2pjsMfI`=Z7`w`%?C;@Yr-U@iAu~ft;un zcr_nh&1A*!j09=kIUuA?`eXGgI;Tn|4w3q3z<$x(%)vmAwhmW8qtG(r#)6!hQOMJO zOVmsowTppCa_S~|bt^ZBJcKEm#H8V*eQ0%0-p&=gbw#qhR&)BA9Yh+0zY1h|8c0vq zFS0g)5t1g7Ogc;0oRh@_IWK-N^&l!+)DIyb&bhG#=Z8<<3RVaZ7Hh;kgKEgB9hIvx`*DsW3ZWSXSd{vE((fTdAWEIHAcF|LY^0#rd0Fn&>K<}IY(-2;#(*%Mzp3P(ypVEf zV`YWf*-nbfHCMVx_E)Y$!I25Z50u$gJ}UI~hW!ia=_9-6e9zV!al4d3olI*71k@XF z*JTD}kHO8xQi%vpA2B_G4jzDH{j2bu8 z5}hq+rrpG;)=2$r@`rpRoT(+eLYC#X3$cv(Xe|Ti zIjOBO&g1oj_INU9W9>YxjtXi8QX%(5)nO^IrU}(?_YYtB(kNu#*o_-wdlx0%tKsx; z;~LW6dPFj2o>bw#xA9HuB^{G^M6Xa_S@scSS>?8+Ea08}YX5Fn)mwcfMZ1{hJ^EqR z;0jF4bf{x?lGPm9cc%VvcajBZ{R-yYN_qOPPIwhpAX7LrAMo;> z+-jHX_V<>+M7$(sT7ble%+MfLThHrAs#b-hdM3#u5i{VC+I4g>)M)OkoAq@bx9Ag@ z!6rNlpD^^`01PimZ;y1H_;5{44z=vy`KBFdbsAoAH^{=u28w7_n{2Z6Hsp6=!be7w zxTtQq3oqX<7j&OaPE9>f3pQ@%uV_R!XLZy>9)WZw!K5(0JrRqx)>=$-T-JBj*l)-B zU)`u&CS}K~>gkeLz46b^+S%UG3My)%;MBuPRZMBwD-v;hB#t#0b>yZ+3fh^_5kJnI z-VVxrCL4>wpo}~bWdL=`Uwg;UHkxO%_lc>b%BJv?l@7mTvBS|ej@7Ol5U5383^LnP zS)1FC+eeLUjykz*u1uYU+J2)jPD$}B0n=otle9A8tbaPNY)W?C^`%e!`-KlCUk;cC z&jre@#fo6#C;D5ip%dX5W%Y`cdz-PT2woqN88GblOs-&Ky)oloVo;kV5^xI+4eK(Y z*s9}0XTvNiDyw|wWTWVurLFX)a5Cd!wwZ{li&niveP-plt(vq9$#O>=$=!Wj{cB2N zYs?I&*; zJ8?vJ^>9;^mZ9D$TWH*%cB=-4pY36)EJ%PCMu*=rkhM_YhZ*A+Z>{bbJ7LzY;DV3R zPM~-0IeSKK?~|CCTzTd?`-lZ;xHe@1qQnhTOtaaVZ1$@<5Lo2smn3tEU}>U)MDW+M z;lhwWjtr4iP;nv0CX2*4O?6^GZ(&zxtcX*G7l2TnGhv_CZJTz~YL|RJ9<*HyYPPM8 zWnyrMZX`lnWizL1n$*eR7(?M7Y%9efeGw9g?(?XdBeVd3vUoZCrU^4%eOYa;Hb<_s zc^{QZGfA`GePHMZuv9C(A`igKDWJ2Z@$vD=|CzL>&x22JHf_)gwG=3w`L?82mBsh* zpV%I}R($lo+HKo7S~hQ+uS(IEk}2gv63E%0fp{L2BQ&CFEpnCFChY^na3j$VNBXw0sfvz;6x*|tA`69B8}jSsr#wY=?s@VF{4(v;=AZK}N*dA} zp!9gyr+;0>KK7O`Vuek2G(xgGy3d)m>g6=@TBWX6%|+>0s|M0>JrJLKL%Uc#@2T@? z_T*BpYx)4mg?;xs3u`{u8#bLn$Ji^amJoJ-fH+sL;tbP9m`)=zEj(Vkl2ua(j0_+q zdhD-FL`d z2R6k)kc)-n$%S)Jl$~Q9v`TJ@1eYr#n{q#M739U0zI|OB6Lb~pMM_#Im$0Gza}L3p ztP}u?SSdvth3L%J3@LX5#VHgJOfkAiK*vhLBqh{Vqsy_I6N_Pjv8*A z^ZOw!^|CQNk({^w}IqN>tXh1M6F5Zh&L}E+EM&;$>KUIbLL3 z1;4ytf$gL{seXt&S#a8ELaOF|fnkf|c}_Z+{#r+61TRgHuAeO0Uq}5a+IJrEO319& z?I_5t&#rmh_b`h6o~_YU!W_vOOkio52nN$;Sn4w-pq-b3Wo(O*m+@Q3rj~ z_g3B1Cr?cnBA=M-IE^~|?u0n`t5Vt9Wrwfp`K)f!ju~}lUCNW9IvS@NVHjaJUB$q%!hsa1C%Y>d?ql=woo=`U68RvFI!|wcpKyN5`igk6tFL zPCqsWQ3btv*WtaNWHo8ssl-VoNo~Y{+LA zZvbw^F1u?me%I!_1robw3V29|HVg7Aj3XSWGmb!M%~xc9F993;l8~DWd0PXVNlxK( zc>pu{esisu0f$Z8NDHI_GP>ZPHeGmwYNlMfN4XMiCeaAFYkcj@d*n$yCmHA$yevSk zM}3zESpXYRsIfZ$6L_HDdNMVxOLwOw59w=ep<7^#@~*DShw9%$W0s7w-N)42JrsZC&vG|(HS9`V$vbV>3L zMI^6~a5q};06IlRhCF$~ZG9c}HS7p`hXPPnLj4_bgVQG`&nK%pnQ7Uo+jc^uV_{XC z`>Ol>ZCH60q=|lyUGEc&v(@N=s!u(zZ*R?hBKCnK$$y5oj+haC0*}QzYMe4&=C2{C z9md{r{aDlL?H}4oa=H@>&B=-T ztM?SaQDs>-JoY`6PeHuU=_srGYRNU_WxB09s%AwC~Vt=V72YA zHmaePMq$}6TePz=3N#T7v{(c<)!Pjxz~nZ}UU8kTKCUS)4~U4vdFL$9G%fcmeQ@Xk znI||0w%x{Hnlq~I2b*hPHq4+bv8-p*tcZ>(W?E<=3*xGj*e8W`1>+E45lww?yJzgY z*=HQ>1U%VnPI)KdrG(C)5X7d-A+$wK_=^W0pFs-7qqGUxEpu8`qf4Cc>PFr0OD|WK zi>ro2>Vde~dK6#9?feQ2Jm08}JDd=7Vw5t;V^9+ZEP}TbV7BFS225mGTlr$BCdLfnp%0r`FR54Nm1(3_Y{Z9!%?1n?JAUE$|CD zYbl2DM7>X&GQaOx`qc_67H_@fg0tghmQyqYSyHU_aBkLjeV#IUGr+f#5VEbUYaXl| zA^^7-H`Q&R%pxrZ-sSgCKzQ%hg&!NM^;Bib*h@p?{zU3FMvNs{RVpU|VSb!3KKNhM&JOh78zEQdFV-ha2A?=rjHoLm6GYQc<-}4s_kvTJ0`G1XG2_pUXC24vu1w2$Qer2t zmY^Jfv%0Mk`yQSJTho0DO7G?d4W4aCpSl8KBqvN1yq@;*gQ>YLObONWI6n!;DLd#; zz-~Vf8h>Zv@;&N4mN!;%nN+PASn47_LBpjRNIdkV6cKLmkYfcLDs&^r&KTuzVPw&L zQnuV0li4)oa90{1IQLW}V0bonIe~wCKw{ zQ7oNW7z7xo?4@UdJF?_huujKIkb=!~IG4Cn5B$K@`mz$rSd4f;LM7U3jeG1KCp~%e zC9`&h&Y(roo?@IK{X396Z9_hhNUfxp$!*12Cwx4*WpQDt>Em@fR4e3jIxOl#02Xck zY^6mu1>6jAmlz$s7sU2^Vz_!8#)^GDa4I>EqFn^;XMianJ(t@`0CNriN{b^S3?nRg zP8zEaZq^z&20`ud1OaX4CItQV=h0ZGVuUI-g4)IiE>8&jW6K>4{Y;VX(6_~6rNz&Q zZEBB4qn2W0{8UA2VeU^G#=Mowb%Y~|Nc*tH3oy(83f7#p>XB^HD~bSak1|Llhn@gh zhsE(ni$y62_}2#VpNbJ7J(k*PP^IT!;;^rz7<9muPJ=l3$!!|6UR#mZLoTQL*RubD z#jQLyad+}x{a)m|e*Z*?jA>|K3!U2MthCmkD)dgd>p%jq78$dyluPd{+gjhy;yz@d z@l6fpIPt4%Z=W7r2pc1PKk%F|GdfF{dM-SW?9@=x{oX185~xE#jYw?CNr`J=Je-W` z)E4&e-FenXrSVMGd?`yl|JFqgb`DkK<3LF^CmozWj3I?nmXo(4zT?c^O1=E_Bdqzb3#2$JApKODL$?)a z!7EIksRwSj1qj+yTK2t|Ef^?rN=JHWTd^Z|vL){Ld%|9$_m+@ZGK_NFu;2X- zMo>-%l%#Uw0gl;M=r(5|F|93z|^-r@T??%@TnD})Me^f&tL)4~jY0|DV4EIA&6YhKmzf%Mng z4j5HlicrXHC_CI=!jUhwN-;_^PhO4$?v4{^@kZpL7t1UC!yG@CLne?=x4j|)-rr3b z<%EpQ`9pTaAK=54U*#aBh%1g6kd~ifM#W;JK-qJxumpK&A;T5-=K~1lkF9Ix9GAcTf^YE`{vXIFAIEQpzHc7VW98 zPxFZPA-9EPp3_xacbjKZ*CFHTE+q;8E^= zPUBK84y~ZA)Xho0Uur~QDK;B#!PnJOufE}uA~2SoN}^ZS$7AZ2%1*%4go=)>qEp^@ z^``=br(R~Ox%5oGeYLWN%1QT+!1;D{DS|yh2*qb@l|V>o>*4eMSUBMR8H^v0lG*?F zWAF2G_2cz27cWVQ?cwt=u;J(Pa{ZAD_wVOvOMm+dq6gi+^WV+(*G=sA>#gNSh00`) z=f_Fz_7^#iFa>bx!Ta~k@6p2?ukejGknf+7Jt-()a#8CAioDT+LSGx;F8m!`NxNCR z7QgT7^BeV1X{JsA&}Gbi_WqkTbcT8)a8cIX!=2eHdGAfXnh)#Sz`s2jSe?6OGokA3 zJ)!?#L}XJTB!SRn%IySJPL`LpnOFUY>*ZOOX}4^%F$yE@4`#y}BUtKKs!0G%T zcHdEk;jDz_?xp793p|Dwyt2kYxT7NkyZvzcl)@zM*uSsv`#tazVeJz8qbqOrI0v_+ zX(Q4JY~%Zajd5-Ee0_+EC;iU50}@)luV?suB3~}wuRem18DEJmx-p3J4Y^zCt7VL} z7$9l{ZZB3E2_IS|B9X-isaF2v_}wR*0_XHRZ(W|}z{3O=>SB?k`g;UD{n%HZi9=l* z5D(fOK#+%8E*R~F{nEf!I$r#~9)7-J2OfUj?>0t&$$tR*;9Q1L2pA$P^VBs9`3Boc zM*R%qzChl7F7Cdc+DU;d4FeY+35dAdI6;o4nqKnY2zAV#lj#Zxq|Wgw`r5oPc=me%;WZP%0&+-P1kNa+z_lQ zJ1TJpM#uyP@VSKOW{o|ec3hfgu3iWshzPPg5S$Z+PV6b2?D1P&`CPP&(sVIZ;7Dp_fn>h$IkjSFCo_|5jvh&8{VM{1!%pNZen zyt|F$AUJQj4w6aBQ8*~mx z@R*A5N(5wyWyKH)3^aX0bd%w(9zS=_$6${{Zz2k%h>d}YvGpKuu zl+M_O^fT7Swnk@A9~yc52{;bg(wUPY>eB;{cNtd|6Hp&IVqL-9(fJqcoa|K%8U4(d znmqaAGv+nIBGkt=o!@3u(mUidsZn}@xicc_v!xHOXF61#us(F~zwO56-6|WZS0~P# zG*6-KZBjZjZLmCHJ?Ow;<=}&9P0u+Q@Kcy^ku2&D;3ps-*G{m2EOP`>uF~`b^J)rW zOv}A;q++z4P1F>~DLffjLGF11XxInp0{KFW^H?9lX?*BqT=fh%1CX!{tWFa>KHW>| zYXf=o)eweL&QfRWdOB}Tkzx1Pif)W^Dygdo5h9_M9@}Ex?sn=*fqlAi;fdeVlz*;2 zjDaw%hDUa(1UWlI=nJO$c zPjy6%K?7>C6lL`pKNx~huU?FwHJ9pUDl}nW<2Vd=pmlrs)QdF&V(1m~T+rJk+WGO5 zf&jKKhxH(@HM{5EKyS7=dm1UG;4h(16D2fvab%N$OE*KFD*J8h0X#%0&o5G0?}r$_ zwtT%s@`lsi99l;cM{qpyh#KLFE*gN2dh4X>kZ>XBO+;ZYuRWIT4m2VSDZOnSsJvm!&p_S?502pVE_D)9XenDvEf z$)+Z$FBLE*4GSijG;0o(<3#n;o~mH=9yyn45_b0%BiU3FqjY~%F-Or#$C@?k55$s1 zP1K!#TTCRH?9}tDDHe`1)lYE$Hd&Hf^Gz4${!gsEW0b5-w=Gz=x2^QmU zRi&jhoAeH5qp@w1<8+qXnTXOSV>d10x!1y(wW~?7=cgOut+I%@%yH)2>ke18wM3i1 z(p4my*l9?r?*#K8OEwyf1FEHd2MMFaWowe=CzLC;qc*Iinyc8!m_Y!o$yUfl6k z`dN9WT`a#|F#;n_uA%Wluu7*!*5p#fqcDGVjm}&9adt&pl}qLa{;_gtJANjlB1z~C zIjeygb|3qN?_YCq^oo#dU+LZkJ$o>=OJ$_1xxM_Hap$!&em_iad8b|U5Z=xmVpIYZ zZLoD75wpv9so(_%UMJ%>C*|bX#yNgRsi9?zs7{?;flpv9s;#_&F^>n7K|)0 z6=-ykY5z>#$Zjv;E_b!u&Jl({Y$t+GRc@gwTWM@#yxCqOT}A;u5rK9hf5$h^ToU~+9QrwPZu8W4^>V$`C<-yA4lg&b-)W`oGECo zELM9$|LdkXXx?*uI+SOO(E44tXb=qhG6LShAW3{|My^Ue?dei)ulD8l-bp2LA2>jK zyBNtuv9g@KLJHMe4v4A3ebB%n{fL@(LQ3jfi3`NPpnt{zY&AfdVP=va^?@&|s-@2G zEC>-TZY)+=NUPxSb>xS^(a%t0KSvgy8VAH7V(~ow^NjVW>S5L?6}vbrg)BWa1azf{ zi9}+qyn)9-|5@1M8(oTh89zS%`wWaZ=9DXXjn94luy#N23B|R_PE`1h+&rj+>xMLG zHl%--Ou8QCc_9}OM7og#SiXB!LjgEpAeGcnqNfn1_Ehr3 zES3~$>5AojG;at%Vwr@p$#{O*#L+aG3Po7Ho%Wx8tzGz3O<(a!htR;23O|H^$$Gl)O?`b2PmAQb3t4@c8v_d8}1hrwT zf$er2w4I)wG2Gtv`3=kMrRO7EMFqt}1ll>GIA%iyLKvP`%;Jpe1{&lbPDYIt12}BNS@4gM%-yxurpyp(lAFn2)wcH};S^!2I|pNuSoUr+Ygic9dfUgNi5!f-_Gx8* zQO&NxkV=lAz^o`TBETFUMQrnd?B`^x{E<#Fc#))BcPG(YfcE^nb8aeCK8~K?1p16a z)Q2>e_|_qa#W5%#lo|+Wz4Oq_r6WBU=850u1f@Ip}wtAj!cEJ!u;reOt0qS^!MxT5?abf zUvl1eV91J(?TSc|eTVo3d&Ff;kcgDfU+g$FKS5-5Vki%IhtWt>)nPdu#8_WHvL zw_cyMWQ}wt60#v(;j)IiHhc9gHHo7!YWDp}O;ZKv<~MIi{Mg>l0WMXA9k4b5-9RV| z25gOdHmOF8KVwqLICM-lQ@D&?3yC7x0X1vuz10(Gn87Edi1a6uYE6e;Lpi0e`#g~69m9q6CwZH zGQw3sNOu-K#iU?D!d|}yd?R}~oM@b@uC9I(FM(v&OqDTDne0MM+S?pblA@_k8;{)P zM;S9r<*7xdB|KKpGZ8(19Tmx730}K+=%||$MJ2n$)?$o~w_r1bv<>f#VYUgE z90`6MIdz(}sB=H&Yns|+h#N@th_76CCkmKv62e?M>3p_vbN?&)+?h&#-7u9X8_S+f zk+gEzwmn1-wP*F~6Z?>~`{8R^Rf`3T;~KU6(TXp6)AkSS_l zh|J1`8Zp_3u^c$u#hcT4;Y-nu35}Gel0piA{6Q1K6-`n+E{F>VY4CN=2`KP&JzecX z6#}sFj1Pv{S|OtcP5><;LyeS~oY&;QWHAwrMEGR;)K2iKZXMgLkoPMHZ`JF_@lQvr zKDAk!4lkH7=>vuD=#!7*azc=3hW~c5$B=rH%rJIUC0*K6_QdZg^LuQYA)ABVR*!NRgO zmQ(pfFV)c##s-<{?&12Pfs_In!a^qgh7RuDs2EaxT+tdc3e(B-+|XFsH=L&wp0_SG z3e=b}i}gFyc4@5N-$6Tf-kQ|j6jDLq&FX8nZ^y*iHEDIs7TxdA!HfTc)g3xOBHMna z`%$Tz_BRrdL#t1eKF}=&4(`x$4t!eNy^O5$zW&gXKYP|2Xp*@D(K9~d@2}tg{0(9Q z$||0YWBh&4I>m-Wdi7__Wb`}eNU{Qqt8I;xY@%5nva;_@uBJ@E=@k7G!mXlE?1tqcvUpnP_}~!FCWf1@_;EX zAFjFZfI0tpy79n4RgcME`#r;qzop_lDRo2K3ws;|nRYZNCRWtoAahS!O~1^VEwE>0 zeV=F)+bpLRUm9HAa$z>+J^HXTeI&*B%bM#_BB*vl#+@o>-OU;$R*K3ca>D(Qa^{tN|~@a(b_Q21~Hi}*yt$kp)QK*ytc&NgANWC z>g~DCVM*(}9_vCml#?-G0hobTB+xk-K#9!}sGUhwB~VG`igj7KF9CzFjFZIC9tCJ$ zlW+hWJxaQK717jS80(ur>Z_zM299*}Q`Aio;r0BpQ!@2@a}_$(@6w(}YVDr96xC6Q zF(1trb}XXge)2*XGK$U+qrG%iRahf+-Y46IgQ~vGS{OErX~nWVjj@fVX>KVp^h8Bmw7vF8&*5OU(Z|xh0Z;_AemhUay&qFr;(?rUlJc$$rl?xCRIM8ofIaKXw z`N8m#IfZZoWOV^6qvn1A%93#}ETkkZR)M5sgm~NN0RD`vXzfS!S@O%8FeZp9zlHSN zvVO_ZU>mVDt_l*|=X0w-O1rD3Uzg#mR$OVh`IcJh&Nv%rsyr&`oB=%GY|da@Wlbjb zoNzYddrs>1sFQToz~wUTj6AC8cOqOBO{WnyOlkCWvkso>)|7Y`C2OV^RucJ5#hBy~ zZBJ|hsIyTk(GATw2dZr0Hnev{)Mc_(f@^G}y-||yO-@|0H588eimaAG$`-RL0R@a@ zz~1tCB&e{f83ELP9JQ@r%VjGntQTCg!InYcgu{lc#6q9|tNd4RRQP|~FWj+VTi#rE zq<9nnWpE`v?*JuuQtwGxWu`|_)VRx#ebg)Yt%MezP)Y{(?v5ION&+fH{Bxycz4YPWSn}QOG!xVYXTfGqSng%;Ap<3${ zh=;)o!w~0AfH91#>Z4K8oePF+?5?q<+k4%`!ZrQhQxzWwz3*M%KECU1!A22-2v9l@ zgL3w|zX$UI>KRH$X`hJ7E65f>;U9?M!Bm_+jWCowGWF#zHNH~6`9C>F>TA(1^yA9z zO_wEj#*Q?WVHa5zNx&#YjXJ3N$SF{@#RHf zwdh6~r5O>UdcXA4GLVy7HSMqR%$kYGc%iGVPdsLEjGLcPRdcUV_?=QV8N zI6{9XZDEUmq9hT%OB*sB8^HDc1GOF+8--}P`IiMXk^Itz_L8}0#`sb*{;se1`eK+1 zZ)!ZyA+MA**cjEAeR?$s>(r6=VsSiGiFgSb5<$A8pbB3IAb<>EuKMY^JaNHJPG7-X zppE7f9XY?0exa>U?cCqAy17CJT1f2h5(@PKq;M0ek&DK+S*hpaQK@xX^3lB4BCN}N z`}cVJM3F}qVtCTWhtA|R8s4TdDPt~?m!8Bd}ZlM1V9shHn{|}pzk^Xvwm58XUtQgXytqr9(G}0LC?bHvvWm?Xd&bXc zz-xJS8P4a1xNKpLUF~{5{#~Hg%54N;^K#Iz&TGMFt$2Zs3i*#M+K_ih=DUO)+GrqUCxWpW=2a40;%i`os9z)NH zMFv{qt!;-jMrycdZprXbye@jMx`61-mtC;lTLSu62Ga&II`xXC|0tRpX+jT10?!qZ ztLJh}uTaT(1Mgxor8&lNjH3K0P)~(CqK13(deY}u*P|tMYUU0x{bMw$lRVu0(ziB) z)S_{U+#>}23Pfewfyt@@f~t-`v?Og$roA!^x= zPg?AzBIy9ua_OFhXgHajG^P^}LDA~Jhu}(bA()#}YQ^iBjKD318lZH|Sd6x^<|Lq} z2pcQM74B*rUsjK4wSv11@e>=f#F&>IX*|D8UeB!T@MJ zTE9Uh0;8gaoY7BO#r3~$Iwz;L#B{f_I{_~}96lbhS9cfF?<1DW*_P3Z&&644K{>(S zd&xJ!)X=psROL}W-`?Jy>7Jf#ZERLf-}WZES63HJNR(8KsiB-~`d$WjP`ZK&#qcC=pP~@=h!kQD`Lnfru4FmyU4U`5D0L$;YkS zQM}=zRmIR`m#fbJkKtgDR)EJd7=o((YQ8@{`w{{SP->9;-Xw+i!f$E;PRGt;;H#>t z>aVMGtDn*)js^Wu10GS_?jLIEit(}gJEN z_ZhPImeKTxH($??>a)>{72-q_^G^*mkm*nW0K_H-M=sYhkG!%)#OTcm8-i z;iz7sG-Re(gRtgL*Sc_1Y9=e(5ip=Cz?7`)RawxNDPC}B;N%~XqpC8k1>rNRmj_d0 zx?hw(uv_cjX6k+kawrqS`W60?{qAel>zKj}D^S9@ulm^I9Y@W$l`{-K5EAC%BNHLh zPAlNo`(&|vGEvRViq1s~0yNr#YwPvoHsjG9{CoA%XjpM0Va3B{Fp55EGPD;fu>3N9U4-LV*s= zuCXpG&bPj3&|ug*qeHLP|7;ERiEUCyOCFRcuP5HIc3ny<<4=>eP&Wo{M5yXu@9kB1 zgR}Zssz0t00G){>g)bPr<*&Pz)JN9Bd+|l$t_*YMyzW`cIsssJC8oSvnqish9{$-B z&mYL)j+Q=W?got2O~D$@9vo9AuB^pCp~y=WIw0c$IcEPClwWQVcT-nkty&)gBusmJ zQoFTHVjR25h=mfBlrVQ5s+7>s(EN)MjOq_hDY>Sl(YhS}AT1GXk{E?om#fU@8d(K! ze2oos6^qmBifQRfWi^YaG|rEOrs)^!c2%4$@VmsIU)hQo-MVWESxi9e%{lNm-q#P;uAR51#?S`&ZBGtrzFY znLgk_)jKg*t z>1&WHihG{Lci|J-HjF^<3u3%L%y5~NDM8#~5e^YAkJ?->UnJwJya4;!Q$b{SBugvN zgCXq8?xGbCqfPLb3`)*L-n@oN?*Tmvl>l&i_?&CwQ|=RT`BA1pG14@-Qrco%CZ7RCPubeO^+X!w!nDM>-OBz`SP4c`)$B2 z>oa*0CIv>v#3!gklokqGDIey>Qqgeb@M1X?P%U8@Hc*bLfQFoBo4|Nj>*k{siD3%O zr`Cf&&6^Y!>cyY1c%di#qD|s4leIMU%tb)Mfw7?6flOwt2K@#6T+DEnsuF)Rs{BLK^A~3h|(Y*xA5?cn@p{RvlVE!nUKuP>q}O{6s>9Aq(LpxG4}?m=i}fu z!PEw9;*BfkRt7A%y(b-R)fH2mu^Fh;PncheA7RahLUjq!v1;Ia{=Qn18vwCK%HQiv zl%pZOON_h+&c+=$K8a?^XLDSENX^rK^!4!c5tMhF>aAefA)Szs?R3Y5Lsj)!^~#@zj@h8qUYpwRQ^Sn;Te$>XXw@zn>IZe?9pMUct8G zUht}YGE%}92lc|%)qGLQp;Dl>g`4gn>_Mi(TXfCPlA=SGCN}%>f3G_w zaJksmA$ESUJ3E6Yhy0)Nb@ zCZ)ll;M}C@D+#>~l(MA*4(3QN`7GK8X zEi+|9DwCdVjh|2dLR_7jVkVA;id0FpX&O>8Ekk}M1Pb%?iUn5t1O93C3|aU$e16K zjR)&k62e~5kYoQ!&d46cr&bj3bqmQSisK$d%Y@Ik%oz24`h@%ok-16DvXT*@g?t?ALuuzf8fZX!&byFjX z_=J*1f7~|V?pWD_YjY6%nEtjRbHS{>I>n-FAf+vdpd!RnL!#UB$agN<>W;*ZeD4*M z!CHz(B=0@|Sq@$ixY)uZ5BDVv0PSsi%{Psoh4Wx;w=oO)T;l+84qEMaS?^%I#-Y+X zACVP2su`VSk(8e=_Ss#OZN>>dJN=vek5(I2((*6uHbu6JM^yaFM`s5~zPRNO4l;}s z+vCtL%nn|{xOmp!)3JB=+;J=i-8GBYXCb?0T|GI`+}}kr2Nd7to8<3#WJ-wRxzT?= zmW0eNNV^{qPCaIA`J%Aawv;7v#`eP>fnU}g;6K!z433dDE>K)fZ|lJkZjMGlb;}g@ zEk(|sB=nGE`S!B(AUjHz=7?r{)f3|7vao%28hS?Q4(AR31ePea>%7}&*M9-1*&O)I z_Ze4dUWx}N-mCd|OGnlvUDYa+@)7pK!_r)tjb~#+&9+P-CE$54nH5`opvo#1sHMqg zU|SgRtiX>p+!@f9hOuaLM56x*TIl;#ph5p>u82lgpTD1=9c@i!6yCTrX>6xE2)Eq8 zo@UIW$#*2$Y>VscfRW+9Dg*yU-spcVfiN@E$r3QoDZAU5(8(EE zDmhvIYo1OWB>>k)fFM%M$d+PwDjy##(AUrTsVe}g z6XNX_tkPY1&*23T8qZD<6haftxt20mf*DJ02vMD4I#h%8V#{?4CysVNpe_KUfNmwg z3`!c>w2`*F(-4E6@eGXBEs}xe`TU7GJ-puE{$Z|(!F;DDe@4{UZHCNsy#9V(9bT}ISOUJ7mZg9lyo>1^BaRj^ z=E3i5qnns9j?^1cT%?ZFJ9ZA!nN^zH55K6k$LG*!>7AY4Kd z?te4=4zlNjoM)lh?+EWVGBf$ex;I_dRH8();i688<&SVMe>QmaxCbgxZ}a;5Xwv7` z5r!MozDio^mJ5NZ+!(zGkw-0E8A&KgmTj-HRsoA_8ycTj*XKa=N5Wqjlvs7YNYDC8 z&+1B7+fMyz4;2QOS9Jl+L00#!xd_$?( zUDPg%Nf^~A_)vBea^j51ouA0dP|}QlB1>o81zs6b#!eYBl8`xAOAF1RHMFjIPNvMH zgSf}Crn~v*iH~6_6?vmFr1DJuj?6oac`^1ULS`06O)zL6QWDekj_VRRy=2AmJk5?P zP`VfZyn#yAQ{gyU*^mbGWJ;oDPAtnMivDea@IT${tAt&^CW0!{xfMbJ&r%q) z+aSBiIOS5j7~AX|UH!FHzujST+vJL9b3voZqZ*Gu`XG00rqY-1<=3jKc}JdsqYFQt z?)uqMsCy8B^w4jbOojUepk}ll*RKZmR_CW9(2I-pcspMJhvw1wHYmOUvwy_TJm=o_ zw%E3lUV?(?Ztm;xKOE?`zd*!@ceJ#TPm)+VVN@(nefoHNt&>X@dmC#7O1F8uizCKe z{lczkxBFIkzV1$42TyHn^*X;!&o1AW>6#6ef}!p55UfGAC>C_q$PEnvU#6e0zaL*W z+wpn52O=HCaHAhZ{vLgqqyh@5v4{=lnFx5`I?7H%=aH8)i)Lugj-eeF%MrIC2O|ex zwYb2kQoH876*~7y+`DZ>-WSkAkB{+Y6WqbM7_kR}-JsC*>HprKQ{>Cb8U8iCG5S^v zck7=Yk7nm;g(I816V@Xnp}$S4h?li9d^p4xaDsZp;^q+ew*B|Y^zHbl)7*}U_^bG8 z+eD3ONx9btq}`;93{KAh=BO2Pe2_CFMyxR^Yl^s1E|$OLuVmBo+$+@j%WV2dRswLX z5Tq&sQ1gauH}HyDe_p5%O8}OsFpOmi>lA@2$SVv`_FuqMySzNUjI$(1{9VJFiR%EHvEYjjK#( zgA8~sa z^}gPIriUjJegE|yGe5MxSV}+~o-GU>xGQwipS6Nf0DTSEVzD!K7tK*UbGafahs^eY zA&b80_z<8D^viRwB??~)4JHt%!#vO7k;&s16ooB3R*eT&^Hy7@^J0TqolWKDeqtp` z4&Xw#_2Hji90m#8AsG83Thg6J(|s{kVv?*ICpQaqqc^bTs;urXh&Mq;NU|#V)>B)t z^IP5nDjj51mgD)cfxp?}WiHIbg$Y7`@I|K0E(ofQI(`aa#te!OB~!*w4<**GClFiq z0R2-4ZD4%FDLYt#0#t~W1CQd~gdJsr4%A%McnrQWcPx7raHoqFnbTQ=^ZJ~r=2OR4 zv59`er$CYA=_~}qNtRYT+7or{>fz22XZ-V)`g;aOAo7AzlxK=#!m9jtI~>N-4$cMR zMV#27Z6{9Ru^Y0OqxF#+lb57Y>5uWOHv7t-Wdmmzr=PkQ+PRM`c3f5ay@`X%9fO0r z%>)o9ifCCxkbHZyAL>H2tC(hmPcJTBbmPW0T1$;wlNZ#K@-*he8eig`ENSmw`bSiw z@~xnwxvGGm%+Pa7&{`YG^U?Fs$#VCMrmnUTq(rq1uq^3n-k+IE+-{o#rm>LaS0WA3 z_a$ONU~jZSzN>ydP6qGJ?XBV#r`7)OR3nAAIZx>kr-?KYmAl zm?HOH(9894%o&aj3JRxsz1n=VDWhQIR--;ukXy0cQ?7QH5xna~=@Kr{61YYCqizUz z^N&qq)67_`vzZOOPcqg8mUdzpGF9DBx6yB~xLZ7eAww=ObMHHI%oO;_hI0NjWI?#p zxko89T|fvC6_a=XI&1;Bd-+0nzeaPXuGJKhG#>!@c(qZTMb*t#|hr z^n2g{ahvEE7%Lh6fx1l|+mxO2Y?0%|D1MeIRI;e_liDJsGq|7h#B-c?mAYC@hJ6Wu z_4O{ORv2lrCL9c}n1I9SbqOY?3E2piL6B?NZGfv^62SmMl=AbMIDY#ncAgvd+A86M zWk$_Bt&6zw#8VPstZa%dc_XNAIgu;OT`iXv z5N$)6_=AVB88bW?Zo~OG2)`z*xF+L#uFzm-S_xk}J|H0)_p{q)T4fy&g5%Gj<{?ve zTC*wr)(z5|1c0nH@y`w!mvwhmSMcm72X0LdH+_oiM@X=eORH?F6ETWc4?;XtK%9edEhD#$t@vyx4wnskBY#Mbh+`o4dQ+ znkbb5bHI@kvjowiaZ% zidK-n_bzbz?9IJ?*Q@G=gx_x#Lp_ z$6WN!ZE))L+#$V!sM%;Mq_gNwsnuG}ule%fXweF8UxH^Kh3*Zhh@j=dH|oam-;#MJ3<1TwV|5d zyp>MMV9q!iz!Sk=tlJFch&F2;g-wC5o5zm*v$|XN>~nVX?nP|j!qfUa)ju+sb5!*7 zs7q3bwI2+P2*C|(L0Ms#zKF+sNe)(;+i9IBnCgOU63W=z9un#aVNvIzkyVu|x+#Kn zE3!!Ah^{Z#)E+XmL27HpDL>UTd95ldrLNaV_o6Tt-6ey4&jmKeP&&XNsVnUX%=nli z)38(7af^^MZ)W5^Xy+(s0I(B9P2;nb@d4WOLey9#n}x|HJe6ohv7S*0WK@pVHD&Vq z_c=^{;N=w|B2;u{a`wP$@L=meAXYlz^gPB!C_9r~yUGowoNh+eQ&^pSC!OM)O;)wU zg)&9(|~(yH7eqYB)eV}QZ0hMhteat;eYWFNc0+c`DPH@sMQDX*GY@!uJ#-(*G z(luxt-zPeth!IU%BHYWjpud8`OT*+yTWv6^w+dQtfJVR0V{J*== zu!E#2B5Q@~<;}DhLw#Ckev&19rR2HC>}@NbRdO1Hg;MYrv?n)V?rd!R$lsf=cUMXP zU%$Gpip#&TVCiqrnHeVmq8>xq2_Luj3Ays-NDbquhXoOZC^F;}{)Sjd5WR;yU5 zu+!P`TiifV&xUwAK%-?90tA2sKbBJf;N85Idw!q){Br8%`_GqIZQFLeS6N;2gQxDH zfHf;1)i}U<99=F7jik>!^F63a&S&fN0y~1_=y^N7_~iqKbYJlHxbXMb&eh3Pf2%4& z&X!gfalHb{pJ>A`oiRFsat*KQeEfs=eh37&+s)I!=(Ne1UC+6e&07!(^b0N8<781+ zB=EEZvS?5<+PFtX*b~t513`AG#}A|X@5*)WqIPZhRAmE8<8r` z^mRv<5L|iQi^E;_BE%0^mB&EN=o_|L(_hWUlFtdA(>n@H+5K9PhM!t@v(s>tIrrSk zc59xTX`BEPi%f6xuU`k!_gth(NRK4>de_tr@XPP1Gr$A`<^jZ(NHzJLjR{pRSz4R4 zuUA_W8ft!YN`kD8i@PFRV+7B0+b^>4?PrE60kN4NyY#5Ljn{YTxl=7KR>5S5b}{IdCJzzv(?(V;e|jxUXx;av-d`Z$*T zwNM`4u7$ShcA!KwRsCzQ;J<@8x@$<#y!4B!P#2TxO^>I$k&}ORfl;ZX8$j>s21?zv zvdwGhb8}N{K2dO@%5ZX&Xr8(~8Oq$!r|78b2)b;K^LHk^Iu?WvKatr=1X1jeZt2O0a*!VDTaKPXi4~f z!KwUg14a(*Yvo}r6&#k$xqTI+-?R0lKI{%-L_8*!+UtePmagS>7G4hzz4UQjC33}G zWwoc~<`W&H{7@$!Fi3jb??-t>h?5{zwql0f^;}rPEO3U3@J^uQ%}9BYiw|6WV*&`m ztiE`2>@ct-ik4|9P9xc0!3^1*L zJu#c?2xj%sh7xCZW&|rZJoQ6jDOH7-IlQ)YIwG6KEZ#z!X7hdFnC}p=lyorPWY7`A zM*BEW!V#h7sZi3+(G)@*GUSX3LKK0NL=L#5(PxWw6q(a|?(f^t&H61%BqbUmsf}|{ zS8mc}_5womV35s~fC9b{^Kfg5G8MAA7-PkB#QsJ&Ryenbl@$FkjpP@c1-!%9nFr^2 z=HwrTSiyY8o9|a%cX>Umvu3iiq)N*_M77F1)ULI~PDQ|&icpAQGJhjIa2Lsz!^00eaMZT{v`{8 zw4BdNNLe58b78%Dp!askhxnOd2lgu(P7QTpom?0ekbd+i9TuQhc4&TG+t{Z8?4rFR z3$8IEOfZh*QX@<$jE=+Qj>_-o$JCXEnYe?@ziDe`pL zmO&EcG(ofE=4c!kkcq#-jNE&|Gd{2thYfEz^B|V4nA_ zDS0ZVu)fga(k$myDPjvwE5m5@1~elTZ(I<#YrYE8ALnCC0dyA;;FPZ;$SMMILu7Ff z01>N{YO-@b0;h*8L9Pn*nNfHodkYF$f*g3$c*ExS9ZE70BM;LL{H+5V9LrMdL6IEi z2|*Ave;L#`$2p6ivOecXBo8QQ$c_q0-Q>EhpY{m+yM|AHsnCte*PfEylvQ*`pdVtc zW9M09(*M}Du{%Ki=G^;bB>e@B!QcaEiS)eN(JVVhp7Z%)%Ri$qryNxmf}P`57TQzL zg}W#W9LceO3K5FOIcJE={)Hq0atFu$6`BB;u$^8#b-$Jr(9N0DI-lY*Vk&^{+RDC_P3XZfB^@5_ z82R*STml9;AX`}V>h;X*WyKn&DW`5_D!Be`EleFIa^f2fKB^v*l+ag$)c#&LCVqtX z-tniCv1V>-RT9((JvUiWC$maXJ<*hPeRUbn^IE0_-(F&2F%Rju3PIHA9u=*q&J+ID`cJttXgSO(gmxVSjlD*$YIIJH3uiTZ8|ac8-j-FT_=I zhBp*q7U$ae2+6Vq{M3nrF9*~i_`+8#>?e`72RWZ>#WxR^j0KbH*o<@YbhA51?%xo) z&PMK^wY-;Lx7R}eM84Vd&SlSIT5N*887)11t*Sje0HOb7}5 zH_98NjN(9qe@Q}x<)YXVos7hbBjpJamk}*7%V2Y|d8QshH6peitzb!Tib$LWY;w&_ zlYdeZUo^^BYa8GZrG%|$G{MK$L3NdjbXgSH{qDhu8K1G{RhOSyG?EK4&Z>u9nU`Fq zg8hpUVd1fa?Ll_0P#pFuaRlD}P`Xeqyv9vcrwvGfFPJeW(r{xj3ZM!UKy1{n?2Cd(O%LKG>1(%<+|XEJ}S6Eai^7b zE~0`Fw$Cwuzl+YTM=wc33S5QnI5=FDVMXFnAKucp$Z1Ur)L=l^u^%CV%(HyLC)din zsON)%jYo%+#SYCo<%;U`J#kTE?%UqQHf$%khBKb$oZ{S+vVN3gcbtVSl?3z2NQ7Ph zKLVw<0t+M|8Yl(WlMmyMMPvK;C@EK+DkWeAXbAO^M2@Sp9Mxz+2Yl^;{+(_!E$7T> z{^?_!BqAnZ3N^A2_TG@6XDad|t4Y{Ad_q&p_59-_Q?rV9QkwP!(uYS|DhJD-<=Kgg z#6(A^6}ve}h;=Yj$$>rt^b|3Q%#rtEC{Of{dL`KxEbi9FkL&R$&rKe-YmtC-%v(O^ zZ(24!AF#wC<=SwFCcHA3m-!SO!0zrOom(UQqTrIyqO=0j*U*CC3H$hsqIZeDG@e)- zh}1RMp62lq{>8CC$_03=HcDNK=uWQk{Uom5##>5len1b!$^#((X~PFxlmbRC3~1yPS(mn{uq#7 zUX6IFm^V(#A_>4Kgq93t$Pn9QB}R-vtAYX5&3NDo$8sor#pknUp=wO6oU*O^TG-|O zB~-z!S&Q^gS#1aA`y`Nffkz6xaR*@y4XjDqzzuVnpGpi#@ zjy^~jsOF9(jjpHH;AYMp$v|@rt(#(~7OS*1g3<|EE}TR*s_svz6jg`H2viYL%1{*! zY4oQ7htOhUG<%*-S$=W)cqE8w$V)s(YX8mQ^-Hs{; z5nEid$)-!!O_#J&b+=ZQ2(GTY0_d!%p7?Utt(>Ut6DHR}pTM8trcncN0Lf!M9;kwo z(*srcrt1Ol^&8vycYq}G{|ES$f${&gnPKE${lQ-u|6BYuX51!#9zn#{B>563YO?F72(j*HpV?aDGCI9M(`w?U2i&Kh&k-%LsiEHFU9 z$gdQW7nf!&i=@l}RR@GyYKQqX1}Z2#ffVW85#CUzAp}F-{6Fj|41Vls3_tZju9p$&lhd3c-|*|-o{VH>Qa(GI z$;2GL{xU!@UhM_|23Rrm^8a_Y;a_Z({tFlW$AakpBrg0PIP?F$KmK2AkN$gHn4N`{ z?SICFtz$NZ;(q$${qdItg3q39z(RmCRQBqTZJky{X#XHWOnDrRsE^i?W?x+`>p81U z!;D@XF>B;g|3!e2(xw^pcr)m9c3zZ2?{MGLt|>aquvl$Sbk$i_|D{EhmVHh4RqhVm z{Rw3AUbZ_4FH^34pSxS}+V*;63;VmP-R)@**0#&n^%nUftJn8y`lYFzZC7ur2m1rN z&;pOvhV~2j>)nEG`J1b`V|J=(isk=m@2jJt?AE^N5|B<67`kWZ7KQ=oZb52>ZibYS zE(rx`ky2U&X{AG?kw!YCyYm}-j!&HRob$bBt@r)!%v!MS+55VFSMELc-m~v(N|4Xo zYfWxM(BF&79q){wa6Ni))p*BQuL=|`zfhi&kA`CFo;UJn@1k8%Qi}B9TfZcr+Q~w| z?y38Td-fpbOQpLKMTsTuyEgXrw%Yp@Nni2H=iA9kbfWh9@f))%U) z$@?7~(ZlO~(PNQg@4NPLU2okWe)g3}?YsBcZ0k+6$93#o@}%<$#O;Nj2X&j3i6KcI zbfzT3nh|rF=JU<&Xy)9b(s~`|xjND(y1mzrpL_0C>(6LDGdlh8nh3u!RrClFD@14C z4WCX$F+P=alw!-W?i0N$MmoC@@xrjukS1b(H1WsR*@DA+r7B{Biwf?aDyMNvcc0Cq zLR&`CJs#AsHH^9DMcZbG6=;2hb0)cli|j#SSxS_4^+zD{sGrdzg7bxU#0a!~-ZOv2 za^gCc)Ox59U9;~YA{tbQYoa)t1khQo)NLGSk><+DxJ3J(_x;xtD?Zws0mz z?Pv;BJ3AE#w zKQ3Z1b5?qopYk>&uM9DpB5|en`a><~z$~ z)IHthsO!Dm#(c>2B<)qu`ld;(DdCKgk~zf^biO&t(zs#1_kd>JU5RW&LVsySW~(K0 z_g-$+*QfGwq=xVp{iQQ0E89kBN88(+PJ5l)?{6jzuTGCgHg>jW8~m@ff|xO9Y>pk# zLusGJ>U}&x?^qu_#=eS0e1UtGX4x;{b2{L6v3tIC^U>pBL&QDIcwIr|BFdfUS#s=N zk+JWFMknL(yLpn&Juzhq!Zvs3-aI%@nyUzy8HyDQt3LNHzc9WxLwP+IR@oY2*`SJd zcX)1u;N5ByQ4`_`cn*w$!beD0Qmn0vPnx`UxLO!Jmrw7+NRi$&9MJJUxu|dTokz)R zSM=zU(<@BPf)c)uef@iCWu7ku3P_@xSVM-$%z zn!%3}%`1f^$CQGfapZO4H|PCCYcixo@ae#8^BaI~cM}_c-gvFF-?m1(E#WPla+>XT zH>SF`=QLw=ef{mCjfrxJUCR2MFfxS=@qBCgy~4;|-E@eC9~7RNuWdN7f+3iSTT7`| z%t;dEwsH*7;srZjU~8T9jYhVXUZ0wyc%HWJm4Z4w^g7PhFrqB8U+pZ|ke(pDZgC09 zq}TWFd-2Yz>$L4C<$kb~3_X)4zcl5ej9FS4D%X^PV^ z)K+Pid@~lAUr`hxq7&}y&K}}gZx3YNFwc?T%UR3UtB39If7!k@1)=fop-&{ zsO8eIpfKTe<`)rSm_+EMVMILj_Vj2Ck8>fAlBS4FC7s1Ub^U4GQ$t;JL3(oDPcNAe)o}EQ$trKfmgDRC8Zj(uZFriKIvTl3a%Zc`iZ!;-tJH|eH zXWdgj{3mJXPlP=D9=_tr!=fYYu@5&E?^2%`q0#UHSBdS2eY*EdprVl@64zE+g{Che zr|rFCBs+sbn~ViS;)aKiQbqOhjKW91&(tQR7|5pevAel=}S0 z6~|fPs0Mch@(?oyz9-L0QS(53HkN0`+Qn3+C2}25yW9?D9eHM!1;0dh?IuYzUM@dY62)J=ik+{A1B?GQzpOzko zC@$BOR_>!Q87i`}K{zUioT0 zfM6|nH={=Bbu}E{IGHkAXzP)MhV@u`>?0^~qj8Ic`%8jQr7o08kKre8mQbIiFq-uf zYG=djWlc(7ksO7v+|_2H-<4tA%W=O7Zgbom)DzyziM&%gJD&9+;(P3>l=Qjat0Ybg zGeu(k*GDlnN><{S?hB7$AQHZ6wSog9Y0$w zwDhslm+INjeWPTEX*Bk;E$BDdt4X@=FY%ZQS8X|j!JdK4f2Ko8wJ_!l+IbT1ojuuXA)5~{;hT)f~zdO05$1OvaD`W zwd@+T8>M#Am!51X$sNq=*^zs%IDnAvZ2&E=9Tj`A=Fd-u))&5FUO*$*4ozc3j0`6j z!a)PnZF-ha;XK-HUTJWAsyMOpGz65wpt77AS{E^cVm3j1rKoY-GbwsbUfwom`eLY*#lw~W-K)+?DkosEZcdmg+yE#uK0^B<<5^pH{0#==zeNZI!uoP<6vOKimgL9bA zX++J!(Bk-KjSa7t*A;FU@$L}N`gTP{=p0{+s0Q-V!!yQnHX!JAeU&zirrq>WlZA9> zyQ+vsY_xP9dSFm9o+^FrQZn|~cl4w%JV^{Z3S9!f2&+DP-z@^?LT(o$xD;zc8xM_G z1!fw3Mm^g}ok^Jd^_gnyZ4}J>e9rH5`}nLqj|CQmQ?&}-p9d@XGp^(~xji6k=g>Ku zn3Q-NpZ4Cdu%@|ZnxgEEXdt1)cI#YSfXJo5%uplZewDhWt5s3iIIw`g^qhx{1o4~Q z!#>2dwdbvtqSoj&>~EGFtBqkt%ed*u609rqAIva5CV%_%=IfHD*ERE8yJzB;eWEZ` zy0uXsTlXbu8>+tCS=Ho46C1f9cfX4tbr|2nRs-qQhI}@KYu4tkg?g6INMZ@xC_Dp4 zSW}cXQ9wH2r7&N%Tp};Ci5ghqtfEWDl7*Z<$=C*}k;Jgb8su17_4(+Y`EDnV)6vX7sXOX3CYweSKb84L(M>BOZSNumf zWT`B&_+h%HLP-=YiI?V&iV|_!+-2IvG;^nuK1!> zhn0?9W0B+GJDdfmJI?ZMg>%rFb7=YovM}>OV}+G!?CaP(QD1MBiXdT=mY4$F`*w8` zZ?^+PkHimIMMvs_#KBWXV&BgzW`gM+zkis#XP2B6cLb#<9Le%D;g|R_g(Kx@0i*pw zL%rVa*OnXkz#r;{?>@SczF45G(Cb!WKcA%?lg7N0+FCo3Or?!DoM%_5ofgTg%PKyS z8ic9)_#>NGJ(VW?2C9xw-DL5~+?uw6YURq@{D{RF_77ciZ^-B4;Kib?a$=P-4e!DO z*!Kd*SD9t%swj2owd%7_$xdJI(6n=3Z=sIK;_W$k9q>SOI_4e9G~9K)&M$GA_p8C+ zny&51WIVPq;5T|poq82H%>I_X^Ydgekl{&0fx}1yCedR)RiS7IP=L&j~Da3O$ z-?=vMI;E|&CGX(hn|k?raCv!6n;KU&qnDJWj#ATVT)ply3c7nj3}<25yiHt+GTBvJ zdhTlHia@4a6*T%YGiBiVMG}hC6h{<5)cn1FD>$mfW(y#)`4%|1bp7L2#w4f|~dXSPkS z+?N?HS1B{TWU*VOsk6gZpkENXw{JfiZ8b}5fuwk;;+4lDOZt4y%?;z}ELXmaxG(Am zO;8`uTlN{+5AC(JeojbRhf_Yqsv6IEZjUDK7*o{$O8TJ7T@*$7Mm{vtW;%ZK(dUx7 z`&{+#$$MCZG{;K{rw?wncyh}RhaE?xv7_${+BEbMQG^YAbGA6%%%AA|q3Z_r0Ls8~Yq;j#9&^ z2wdR zr=e%qree$Q8eS2rgjWCX*wqqp{!-YW&a72iwdP*dl8ENQpVN8gDXJj(7jwrsHAlV4 zIk)m@_m`2xQ}$tztvtQYm|M@>#a*!0%)`XYffP|WQ_Glla#^KD9<^vV*41#)ZkH)l z$K>~Uq1BwI*eBDqf2&h5$*_uZkpQtNdW)eKgN4M&ycok|p(@PCHs+a*SmnTBd#g^H^A23KlCm|(l1Dqq|g z^;MjvzYVdV$<+NMyr*TjQey`^tM+}CrpM{HRuSh{q89yAP)yz*&>Gbq3Y-wjX$(v7 zRkM~wS^x0H^L3x3W`QHwgVDD(<`(&Q0xpiKj%5*|gVc}T3!0*tq}c>*-9*fc%YQcQ zJt3ca7abcyuoRHFTR%0nL$keiB8u%2e0+1+yWER7>UCqs%tw&Voq6MV(w%luy_2?K zJac(u04$HmR{CgLNp{ZS?1>FR;w?CcH3wrPW&Ew|&fv;U36_Y={^WbU~e zn$$Zbw7CMKb)&l$V_w`J7R!JGD~LVNkMI(K3**Mx)6Ni#p3PGv-Le(NH%qvOV%hc0M|k*m@!EvnJ_7}U+X!t)oK zv?XDUmsfkR)2ZvLy(7-N(H(OR$ZV_rYV7;V6F>K-8!`tV)yp&X~N!L^L|<^db>O%Kr=_v=pY%J zGJkFVhH#eyh}C~h-6$Bdq5H!BWUI)Z#xx)a$+$(%#|l$5f|ihr@5?Dt>d5!+SBn)H zV_z=%J=wWteJ-vmZ}bgkKHCOHHdD7>KR0J;dI$G28m$?X;Ym(vx}4&sd!Ml)<8eLk zvH$CGRDa{?eaqgFSjX9!+0Qq7KTZPyXqOZyd<0g*=sfvdRxwST|U~sEL7F>~`@;=-XJoMb;{OkinJ1E`8zyd>U zjtL;a`B-%Ez#ZmeWGxwrHE=guYjN?>1(Gpc;`S6K_fhQ-!Fl9av}(^X8bp7Uj}d@7 zoqmLMgx0dqhJlxT6fun(?v7&Cp#eMtfZ+tp7LuDxwC*9YzEohl$oH}=OwlsC8d3KN zvky`%#M`jV3CrOvib3I>`9}oI#WEzSs}ThD8*RAZ#G?QWTf(@p#|vR(N~Z~p4;F$e z!%K&a8~V|o5;)f%4b+Pyx?me~mp-d`lpHLf{`Hg9F7Ti*lwf(2Wbzok42OS7li3JWw_D61zxG9S4{hCVMbT`0rS9SYFL z$O@g+BnEG=w;hG#M)XE3$%OL}5t{Kwz|3C(zCEP)n!-m^YjqUeW~^eDnacrYh^L^_ zd8v0SsF9coj9>^=>GURI7Vs<|XI5Y!yzOz17)qG*v1T~;o20y_vMHIz31Dyz$0}mw zxUfsvoA5K2;w8oZ8#U^xXe6A9Sn?#Y z!6PKEq$73m=qv$YesMLgTOz`(+MAS!#>0~?@gZXfJi&2VM!$@9YvsT#(CrWop6YDUBSOBsqom1xLEtKUyj?AMe%ao`=YR>Vo4S zrVu@4Q{miXCAl?*&jnfEDpIL>5M5PR@v_;k-3Uy6@+tiIf{Fa%gFYs*1>kLRDONmq z;ei=vMAbblJ1Q-PmnB&)`5zoVRF%L1`Fc;layh>#!=?j864?g@1c&{&hdLhd#tim* zwA4OWtHqbO$n#s2emJRk)fSO&=7!J|60E0eCS0udQfd_;P@@bX&>c{$_c&M%0Qwm- z9Xl$W+_?AS6*j9Xs7%IePVe5iF2SbxdvQMcfi=!v0=?ez4KCEBz=ekeay!Ep*PY{YjXMhYI=1dA^aoysnkgB zwXjmV1O1BHtf|SgD(OsI_!b#52SQ~u z$KJ77-iC0~Ozn4GNh(Yj-fxt3zPXdRi4Gi7oRe7>=?H4SRqh39hHsoJS+F^29LM(z zSCtpa>#HUgl#W%q?pBReKcaJZ?^yXMrMzK_w{B67&DJm6yx<~2%YOcSsZbo1zqokp zdS~17jPyr%s11JmTItR#+O}Wba9T5I+fGx1CHj@v@N_7mS6f9cd|)@Y14^cu1y>=Qtt6hD{qIGfZ#D^IQRyq64sw%ta;3NZ0lu z+&ehRLfsmxRSzQG!kClK5FmtLaGGFX!!xCb%70X2MUWUw& zo~1$1@sstfnmLLbC3s|xl=6l}I-d`oY%`Mm7#O4w_=C5^!0wK$n)NtvurNf>A0;uE zYeiqSy6{2|HJ>klZm_VU8hV`&%)O#NfyQ=Oq^G)NocE{wBtk?+}w+YavDrbTJ*4kR0CZ~kTs?FQT)znu#NRO z7%@QRUp&(!3NcNsc-<^>@Rk9C(1ib)Vu!Ld+N3k+hF&RchyoH^Ny0@XfWQh$N1&$h z|H2whke!cSKP#8lr1IxIPLIM+mS37DuoU-?T2Yd}l(|)bf;SIjWpSUIGnFTg(8^*2 zMuwO2f&!N~{RoXK6~qV%N3z8>F z0Ekk|G&5b~{}p^EZo3v(9YK~WZp^0>EC5*G#Mt;h@n7RRl~ zL|t;cR|t`sX(MP{Y3#Qmi?9uObQI{-`bA8P1+*2+)%w}gxGC8XUBqcexLhf$jD!@( zI>a^5+=PxduSedL*<~llX1&&4Fy>QJ$O7Wqj%wCey-onnKQQGCQS6Yn#aVmc*Z4+t zCMRp7-+k6-7ktQ3d_Q4}&?>4+ey+Z8%rqS#X)H71uULb92pZVwpf|`7geW zv{XNM4e!k?Yz;Iq|xfNXgW_=T3^0e(N}HY zP>H=q48DzhJ}}6Dnoa8{T0@+vaJ4`u)F#7F#{MR_P(o2J@s1HpfHVzF7oANcgg@Zi z9~lFwT5#`8jJ^)H6?c=&$JdIlLov~OdG7zntZ9LEp zhb_*2qTlwAX_xdzYk+`V^tXivS&j3{aDR+U#Bbn8=3Jwe_@m$=!Pq%#|IX0=N#RUh zzF(H|@q-YS^8c%2OX-^Sst8N3*-z9Ta`@^`CAK9aDe$SA1?NZ1ONU^jZic`a*Bh(Z zaVeDO-cERLxz# zXLBgitNcXjfB{wad6)1;+bUzUY#`eJ6{XyRY(q&dKo0SQd%n+u53{T`wITA-GHI{1MJ6=|q?m7*Y23jQ z374#y`MS9ky!;F?9pM6ivG9|lj@dpTn;4)90<%@BLL;O28K zcXuSjpv*bJ`nw{pytidZ|50-ESA9`_At7!}H#7Kc852EarQZ@$83s3nn!-*0I9Vn3 z(9_A(+!h9>HG^4NJBR~z>Kg&H)=+VPF26ER*-08^Wi99J0@L(X(K7e8H5Y~g9!lVd zd5U=2JJ}=9Xg%%i99%^_#Q~;JM>CiR;`+9k8$kP$1a2!1kh<-l)l*iZm3DN2(eiWg zaGC>wAX))lF2wx6$1BW1%L4=fxq-smJc67cUJ+hF5gq~Bf4l$^IEX7T7pR4Z21NEB z;t=n|0akFhlL$ArhldB32QQbSizPQmSXh`F$ivOU!-=5aboFw8n|g9OxYGXy@(Tw7 zb2WFdc7j_wI?&$YnwmMf!Nmc9TS33SezOZ|{<|C}Hy68~QlRGCFgut%%mMDo4dMcE z{|=7e6@!|KSU9@ao5Cebot*5f%}sBma63UQ#JKtB(7 zYWB}c+$v`70yBj>y8IKuEvzQY`LC^is3su=wYPS#c7?m#^8Pz5{tEI_i+_^%8~2~W z^-JhaH~gvn{})C?vi=@N2Uo72smf*UXwU6w>V$|P@UJmMP>V?4+75#t{v>|76nN`X zEs&51uYd@UmmMf10z|m@pD6zn*WY^_U9=n>?IhGRf2JHi7u3#wlukpD6!<@xSIlLoxyLdx95 z(aaQ13qd4>wVfTzg%$+lLO7k4Mc3K^>geIhDt0?S{$Tju)*TTGW#zxu{#X3%?f+`P zPZkk~qq*DdIG2Y=xVc$FMT9I&d7ykyASVopC{)S^6z1U+wh#nyf&_u)`~nt&0w8nV zpW#$i{xk1it6%t_nGGZ=zV1YdE%`hl$U^jPSl)I~HJ z`f-IcamlX@>?|<}OpwB|$&vp~(La^D{FjUHKlY;p{%vtjpBSk-fj|n$o39QI!Bf@B ztzfh+TUrvgwes)z%`W@GYde+$wHHrgb=gpIOJ(YFEg_SWNi zj!>AIsf)d9RTqn(?*gDYZJO3eiZwKhkr$7n%35)#~h<0yH^V=-Y_K0zQ4pOlm= z4^Ws743-5$fDnFJ0V!!79$6tFVF@vQJ^=_&P+E{jS{f`1;S-jEfOrLX1o$CRg1pi| zpsa)ux4eUeBQ5`JR5X6=e1Ld)xHTLd;k5j>j{<6NYg&6FwgGs5+mg`JfLUPwExHehgauA;OES>wL6$(tSNK`7$3l8boXMML@i;V1 znRp)V8%zw0g@ROY0%QJ3m?!nA(horJ^veLBktQ>;GQlp3p^YUNB|Fq Mkx@of77yqD0ol~6(f|Me literal 0 HcmV?d00001 diff --git a/README.md b/README.md new file mode 100644 index 0000000..60531db --- /dev/null +++ b/README.md @@ -0,0 +1,17 @@ +``` +核心板引脚分配: + +define_attribute {p:rst_n} {PAP_IO_DIRECTION} {INPUT} +define_attribute {p:rst_n} {PAP_IO_LOC} {U12} +define_attribute {p:rst_n} {PAP_IO_VCCIO} {3.3} +define_attribute {p:rst_n} {PAP_IO_STANDARD} {LVTTL33} + +define_attribute {p:sys_clk} {PAP_IO_DIRECTION} {INPUT} +define_attribute {p:sys_clk} {PAP_IO_LOC} {B5} +define_attribute {p:sys_clk} {PAP_IO_VCCIO} {3.3} +define_attribute {p:sys_clk} {PAP_IO_STANDARD} {LVTTL33} + + + + +``` \ No newline at end of file diff --git a/led_test.fdc b/led_test.fdc index eaebcfc..acac9ec 100644 --- a/led_test.fdc +++ b/led_test.fdc @@ -1,53 +1,3 @@ -#define_attribute {p:led[3]} {PAP_IO_DIRECTION} {OUTPUT} -#define_attribute {p:led[3]} {PAP_IO_LOC} {U12} -#define_attribute {p:led[3]} {PAP_IO_VCCIO} {3.3} -#define_attribute {p:led[3]} {PAP_IO_STANDARD} {LVCMOS33} -#define_attribute {p:led[3]} {PAP_IO_DRIVE} {4} -#define_attribute {p:led[3]} {PAP_IO_SLEW} {SLOW} -#define_attribute {p:led[2]} {PAP_IO_DIRECTION} {OUTPUT} -#define_attribute {p:led[2]} {PAP_IO_LOC} {B5} -#define_attribute {p:led[2]} {PAP_IO_VCCIO} {3.3} -#define_attribute {p:led[2]} {PAP_IO_STANDARD} {LVCMOS33} -#define_attribute {p:led[2]} {PAP_IO_DRIVE} {4} -#define_attribute {p:led[2]} {PAP_IO_SLEW} {SLOW} -#define_attribute {p:led[1]} {PAP_IO_DIRECTION} {OUTPUT} -#define_attribute {p:led[1]} {PAP_IO_LOC} {U10} -#define_attribute {p:led[1]} {PAP_IO_VCCIO} {3.3} -#define_attribute {p:led[1]} {PAP_IO_STANDARD} {LVCMOS33} -#define_attribute {p:led[1]} {PAP_IO_DRIVE} {4} -#define_attribute {p:led[1]} {PAP_IO_SLEW} {SLOW} -#define_attribute {p:led[0]} {PAP_IO_DIRECTION} {OUTPUT} -#define_attribute {p:led[0]} {PAP_IO_LOC} {R11} -#define_attribute {p:led[0]} {PAP_IO_VCCIO} {3.3} -#define_attribute {p:led[0]} {PAP_IO_STANDARD} {LVCMOS33} -#define_attribute {p:led[0]} {PAP_IO_DRIVE} {4} -#define_attribute {p:led[0]} {PAP_IO_SLEW} {SLOW} -#define_attribute {p:rst_n} {PAP_IO_DIRECTION} {INPUT} -#define_attribute {p:rst_n} {PAP_IO_LOC} {U11} -#define_attribute {p:rst_n} {PAP_IO_VCCIO} {3.3} -#define_attribute {p:rst_n} {PAP_IO_STANDARD} {LVTTL33} -#define_attribute {p:sys_clk} {PAP_IO_DIRECTION} {INPUT} -#define_attribute {p:sys_clk} {PAP_IO_LOC} {V11} -#define_attribute {p:sys_clk} {PAP_IO_VCCIO} {3.3} -#define_attribute {p:sys_clk} {PAP_IO_STANDARD} {LVTTL33} -define_attribute {p:led[3]} {PAP_IO_DIRECTION} {OUTPUT} -define_attribute {p:led[3]} {PAP_IO_LOC} {V11} -define_attribute {p:led[3]} {PAP_IO_VCCIO} {3.3} -define_attribute {p:led[3]} {PAP_IO_STANDARD} {LVCMOS33} -define_attribute {p:led[3]} {PAP_IO_DRIVE} {4} -define_attribute {p:led[3]} {PAP_IO_SLEW} {SLOW} -define_attribute {p:led[2]} {PAP_IO_DIRECTION} {OUTPUT} -define_attribute {p:led[2]} {PAP_IO_LOC} {U11} -define_attribute {p:led[2]} {PAP_IO_VCCIO} {3.3} -define_attribute {p:led[2]} {PAP_IO_STANDARD} {LVCMOS33} -define_attribute {p:led[2]} {PAP_IO_DRIVE} {4} -define_attribute {p:led[2]} {PAP_IO_SLEW} {SLOW} -define_attribute {p:led[1]} {PAP_IO_DIRECTION} {OUTPUT} -define_attribute {p:led[1]} {PAP_IO_LOC} {V10} -define_attribute {p:led[1]} {PAP_IO_VCCIO} {3.3} -define_attribute {p:led[1]} {PAP_IO_STANDARD} {LVCMOS33} -define_attribute {p:led[1]} {PAP_IO_DRIVE} {4} -define_attribute {p:led[1]} {PAP_IO_SLEW} {SLOW} define_attribute {p:rst_n} {PAP_IO_DIRECTION} {INPUT} define_attribute {p:rst_n} {PAP_IO_LOC} {U12} define_attribute {p:rst_n} {PAP_IO_VCCIO} {3.3} @@ -56,111 +6,9 @@ define_attribute {p:sys_clk} {PAP_IO_DIRECTION} {INPUT} define_attribute {p:sys_clk} {PAP_IO_LOC} {B5} define_attribute {p:sys_clk} {PAP_IO_VCCIO} {3.3} define_attribute {p:sys_clk} {PAP_IO_STANDARD} {LVTTL33} -#create_clock -name {} -period {10.000} -waveform {0.000 5.000} -#define_attribute {p:sys_clk} {PAP_IO_HYS_DRIVE_MODE} {NOHYS} -#define_attribute {p:uart_tx} {PAP_IO_DIRECTION} {OUTPUT} -#define_attribute {p:uart_tx} {PAP_IO_LOC} {T11} -#define_attribute {p:uart_tx} {PAP_IO_VCCIO} {3.3} -#define_attribute {p:uart_tx} {PAP_IO_STANDARD} {LVCMOS33} -#define_attribute {p:uart_tx} {PAP_IO_DRIVE} {4} -#define_attribute {p:uart_tx} {PAP_IO_SLEW} {SLOW} -#define_attribute {p:tx_TxD_start} {PAP_IO_DIRECTION} {OUTPUT} -#define_attribute {p:tx_TxD_start} {PAP_IO_LOC} {T11} -#define_attribute {p:tx_TxD_start} {PAP_IO_VCCIO} {3.3} -#define_attribute {p:tx_TxD_start} {PAP_IO_STANDARD} {LVCMOS33} -#define_attribute {p:tx_TxD_start} {PAP_IO_DRIVE} {4} -#define_attribute {p:tx_TxD_start} {PAP_IO_SLEW} {SLOW} -define_attribute {p:test_io[3]} {PAP_IO_DIRECTION} {OUTPUT} -define_attribute {p:test_io[3]} {PAP_IO_LOC} {T11} -define_attribute {p:test_io[3]} {PAP_IO_VCCIO} {3.3} -define_attribute {p:test_io[3]} {PAP_IO_STANDARD} {LVCMOS33} -define_attribute {p:test_io[3]} {PAP_IO_DRIVE} {4} -define_attribute {p:test_io[3]} {PAP_IO_SLEW} {SLOW} -define_attribute {p:test_io[4]} {PAP_IO_DIRECTION} {OUTPUT} -define_attribute {p:test_io[4]} {PAP_IO_LOC} {R11} -define_attribute {p:test_io[4]} {PAP_IO_VCCIO} {3.3} -define_attribute {p:test_io[4]} {PAP_IO_STANDARD} {LVCMOS33} -define_attribute {p:test_io[4]} {PAP_IO_DRIVE} {4} -define_attribute {p:test_io[4]} {PAP_IO_SLEW} {SLOW} -define_attribute {p:test_io[5]} {PAP_IO_DIRECTION} {OUTPUT} -define_attribute {p:test_io[5]} {PAP_IO_LOC} {P12} -define_attribute {p:test_io[5]} {PAP_IO_VCCIO} {3.3} -define_attribute {p:test_io[5]} {PAP_IO_STANDARD} {LVCMOS33} -define_attribute {p:test_io[5]} {PAP_IO_DRIVE} {4} -define_attribute {p:test_io[5]} {PAP_IO_SLEW} {SLOW} -define_attribute {p:test_io[6]} {PAP_IO_DIRECTION} {OUTPUT} -define_attribute {p:test_io[6]} {PAP_IO_LOC} {P11} -define_attribute {p:test_io[6]} {PAP_IO_VCCIO} {3.3} -define_attribute {p:test_io[6]} {PAP_IO_STANDARD} {LVCMOS33} -define_attribute {p:test_io[6]} {PAP_IO_DRIVE} {4} -define_attribute {p:test_io[6]} {PAP_IO_SLEW} {SLOW} -define_attribute {p:test_io[7]} {PAP_IO_DIRECTION} {OUTPUT} -define_attribute {p:test_io[7]} {PAP_IO_LOC} {T13} -define_attribute {p:test_io[7]} {PAP_IO_VCCIO} {3.3} -define_attribute {p:test_io[7]} {PAP_IO_STANDARD} {LVCMOS33} -define_attribute {p:test_io[7]} {PAP_IO_DRIVE} {4} -define_attribute {p:test_io[7]} {PAP_IO_SLEW} {SLOW} -define_attribute {p:test_io[8]} {PAP_IO_DIRECTION} {OUTPUT} -define_attribute {p:test_io[8]} {PAP_IO_LOC} {R13} -define_attribute {p:test_io[8]} {PAP_IO_VCCIO} {3.3} -define_attribute {p:test_io[8]} {PAP_IO_STANDARD} {LVCMOS33} -define_attribute {p:test_io[8]} {PAP_IO_DRIVE} {4} -define_attribute {p:test_io[8]} {PAP_IO_SLEW} {SLOW} -define_attribute {p:test_io[9]} {PAP_IO_DIRECTION} {OUTPUT} -define_attribute {p:test_io[9]} {PAP_IO_LOC} {P13} -define_attribute {p:test_io[9]} {PAP_IO_VCCIO} {3.3} -define_attribute {p:test_io[9]} {PAP_IO_STANDARD} {LVCMOS33} -define_attribute {p:test_io[9]} {PAP_IO_DRIVE} {4} -define_attribute {p:test_io[9]} {PAP_IO_SLEW} {SLOW} -define_attribute {p:test_io[10]} {PAP_IO_DIRECTION} {OUTPUT} -define_attribute {p:test_io[10]} {PAP_IO_LOC} {P14} -define_attribute {p:test_io[10]} {PAP_IO_VCCIO} {3.3} -define_attribute {p:test_io[10]} {PAP_IO_STANDARD} {LVCMOS33} -define_attribute {p:test_io[10]} {PAP_IO_DRIVE} {4} -define_attribute {p:test_io[10]} {PAP_IO_SLEW} {SLOW} -define_attribute {p:test_io[11]} {PAP_IO_DIRECTION} {OUTPUT} -define_attribute {p:test_io[11]} {PAP_IO_LOC} {R15} -define_attribute {p:test_io[11]} {PAP_IO_VCCIO} {3.3} -define_attribute {p:test_io[11]} {PAP_IO_STANDARD} {LVCMOS33} -define_attribute {p:test_io[11]} {PAP_IO_DRIVE} {4} -define_attribute {p:test_io[11]} {PAP_IO_SLEW} {SLOW} -define_attribute {p:test_io[12]} {PAP_IO_DIRECTION} {OUTPUT} -define_attribute {p:test_io[12]} {PAP_IO_LOC} {R14} -define_attribute {p:test_io[12]} {PAP_IO_VCCIO} {3.3} -define_attribute {p:test_io[12]} {PAP_IO_STANDARD} {LVCMOS33} -define_attribute {p:test_io[12]} {PAP_IO_DRIVE} {4} -define_attribute {p:test_io[12]} {PAP_IO_SLEW} {SLOW} -define_attribute {p:test_io[13]} {PAP_IO_DIRECTION} {OUTPUT} -define_attribute {p:test_io[13]} {PAP_IO_LOC} {T16} -define_attribute {p:test_io[13]} {PAP_IO_VCCIO} {3.3} -define_attribute {p:test_io[13]} {PAP_IO_STANDARD} {LVCMOS33} -define_attribute {p:test_io[13]} {PAP_IO_DRIVE} {4} -define_attribute {p:test_io[13]} {PAP_IO_SLEW} {SLOW} -define_attribute {p:test_io[14]} {PAP_IO_DIRECTION} {OUTPUT} -define_attribute {p:test_io[14]} {PAP_IO_LOC} {R16} -define_attribute {p:test_io[14]} {PAP_IO_VCCIO} {3.3} -define_attribute {p:test_io[14]} {PAP_IO_STANDARD} {LVCMOS33} -define_attribute {p:test_io[14]} {PAP_IO_DRIVE} {4} -define_attribute {p:test_io[14]} {PAP_IO_SLEW} {SLOW} -define_attribute {p:test_io[15]} {PAP_IO_DIRECTION} {OUTPUT} -define_attribute {p:test_io[15]} {PAP_IO_LOC} {U16} -define_attribute {p:test_io[15]} {PAP_IO_VCCIO} {3.3} -define_attribute {p:test_io[15]} {PAP_IO_STANDARD} {LVCMOS33} -define_attribute {p:test_io[15]} {PAP_IO_DRIVE} {4} -define_attribute {p:test_io[15]} {PAP_IO_SLEW} {SLOW} -define_attribute {p:test_io[16]} {PAP_IO_DIRECTION} {OUTPUT} -define_attribute {p:test_io[16]} {PAP_IO_LOC} {V16} -define_attribute {p:test_io[16]} {PAP_IO_VCCIO} {3.3} -define_attribute {p:test_io[16]} {PAP_IO_STANDARD} {LVCMOS33} -define_attribute {p:test_io[16]} {PAP_IO_DRIVE} {4} -define_attribute {p:test_io[16]} {PAP_IO_SLEW} {SLOW} -define_attribute {p:usb_serial_tx} {PAP_IO_DIRECTION} {OUTPUT} -define_attribute {p:usb_serial_tx} {PAP_IO_LOC} {C10} -define_attribute {p:usb_serial_tx} {PAP_IO_VCCIO} {3.3} -define_attribute {p:usb_serial_tx} {PAP_IO_STANDARD} {LVCMOS33} -define_attribute {p:usb_serial_tx} {PAP_IO_DRIVE} {4} -define_attribute {p:usb_serial_tx} {PAP_IO_SLEW} {SLOW} -define_attribute {p:usb_serial_rx} {PAP_IO_DIRECTION} {INPUT} -define_attribute {p:usb_serial_rx} {PAP_IO_LOC} {A12} -define_attribute {p:usb_serial_rx} {PAP_IO_VCCIO} {3.3} -define_attribute {p:usb_serial_rx} {PAP_IO_STANDARD} {LVTTL33} +define_attribute {p:core_board_debug_led} {PAP_IO_DIRECTION} {OUTPUT} +define_attribute {p:core_board_debug_led} {PAP_IO_LOC} {E2} +define_attribute {p:core_board_debug_led} {PAP_IO_VCCIO} {3.3} +define_attribute {p:core_board_debug_led} {PAP_IO_STANDARD} {LVCMOS33} +define_attribute {p:core_board_debug_led} {PAP_IO_DRIVE} {4} +define_attribute {p:core_board_debug_led} {PAP_IO_SLEW} {SLOW} diff --git a/led_test.pds b/led_test.pds index 6834ce7..7e69221 100644 --- a/led_test.pds +++ b/led_test.pds @@ -1,5 +1,5 @@ (_flow fab_demo "2021.1-SP7" - (_comment "Generated by Fabric Compiler (version on 2021.1-SP7) at Sun Dec 31 15:14:14 2023") + (_comment "Generated by Fabric Compiler (version on 2021.1-SP7) at Sat Jan 6 19:37:09 2024") (_version "1.0.5") (_status "initial") (_project @@ -27,7 +27,7 @@ ) (_file "source/src/top.v" + "Top:" (_format verilog) - (_timespec "2023-12-31T15:13:50") + (_timespec "2024-01-06T19:24:54") ) (_file "source/src/uart_tx.v" (_format verilog) @@ -59,19 +59,27 @@ ) (_file "source/src/des_ttl_generator.v" (_format verilog) - (_timespec "2023-12-31T15:12:53") + (_timespec "2023-12-31T17:25:29") ) (_file "source/src/zutils/zutils_pluse_generator.v" (_format verilog) - (_timespec "2023-12-31T14:50:20") + (_timespec "2023-12-31T16:28:46") ) (_file "source/src/zutils/zutils_edge_detecter.v" (_format verilog) - (_timespec "2023-12-31T15:00:01") + (_timespec "2023-12-31T16:39:25") ) (_file "source/src/zutils/zutils_register.v" (_format verilog) - (_timespec "2023-12-31T14:53:25") + (_timespec "2023-12-31T16:33:39") + ) + (_file "source/src/zutils/zutils_multiplexer_4t1.v" + (_format verilog) + (_timespec "2023-12-31T17:16:29") + ) + (_file "source/src/zutils/zutils_debug_led.v" + (_format verilog) + (_timespec "2024-01-06T19:12:28") ) ) ) @@ -92,7 +100,7 @@ (_input (_file "led_test.fdc" (_format fdc) - (_timespec "2023-12-14T21:55:40") + (_timespec "2024-01-06T19:22:21") ) ) ) @@ -114,15 +122,15 @@ (_format verilog) (_timespec "2023-12-13T19:30:23") ) - (_file "source/test/test_top.v" + (_file "source/test/test_top.v" + "test_top:" (_format verilog) - (_timespec "2023-12-13T21:56:53") + (_timespec "2024-01-06T19:37:07") ) (_file "source/test/test_uart_reg_reader.v" (_format verilog) (_timespec "2023-12-15T22:18:26") ) - (_file "source/test/test_spi_reg_reader.v" + "test_spi_reg_reader:" + (_file "source/test/test_spi_reg_reader.v" (_format verilog) (_timespec "2023-12-15T22:10:16") ) @@ -135,17 +143,17 @@ (_db_output (_file "compile/Top_comp.adf" (_format adif) - (_timespec "2023-12-31T15:14:05") + (_timespec "2024-01-06T19:25:01") ) ) (_output (_file "compile/Top.cmr" (_format verilog) - (_timespec "2023-12-31T15:14:04") + (_timespec "2024-01-06T19:25:01") ) (_file "compile/cmr.db" (_format text) - (_timespec "2023-12-31T15:14:05") + (_timespec "2024-01-06T19:25:01") ) ) ) @@ -161,21 +169,21 @@ (_db_output (_file "synthesize/Top_syn.adf" (_format adif) - (_timespec "2023-12-31T15:14:07") + (_timespec "2024-01-06T19:25:03") ) ) (_output (_file "synthesize/Top_syn.vm" (_format structural_verilog) - (_timespec "2023-12-31T15:14:07") + (_timespec "2024-01-06T19:25:03") ) (_file "synthesize/Top.snr" (_format text) - (_timespec "2023-12-31T15:14:07") + (_timespec "2024-01-06T19:25:03") ) (_file "synthesize/snr.db" (_format text) - (_timespec "2023-12-31T15:14:07") + (_timespec "2024-01-06T19:25:03") ) ) ) @@ -196,21 +204,21 @@ (_db_output (_file "device_map/Top_map.adf" (_format adif) - (_timespec "2023-12-31T15:14:09") + (_timespec "2024-01-06T19:25:06") ) ) (_output (_file "device_map/Top_dmr.prt" (_format text) - (_timespec "2023-12-31T15:14:09") + (_timespec "2024-01-06T19:25:06") ) (_file "device_map/Top.dmr" (_format text) - (_timespec "2023-12-31T15:14:09") + (_timespec "2024-01-06T19:25:06") ) (_file "device_map/dmr.db" (_format text) - (_timespec "2023-12-31T15:14:09") + (_timespec "2024-01-06T19:25:06") ) ) ) @@ -219,7 +227,7 @@ (_input (_file "device_map/led_test.pcf" (_format pcf) - (_timespec "2023-12-31T15:14:09") + (_timespec "2024-01-06T19:25:06") ) ) ) @@ -233,33 +241,33 @@ (_db_output (_file "place_route/Top_pnr.adf" (_format adif) - (_timespec "2023-12-31T15:14:13") + (_timespec "2024-01-06T19:25:12") ) ) (_output (_file "place_route/Top.prr" (_format text) - (_timespec "2023-12-31T15:14:13") + (_timespec "2024-01-06T19:25:12") ) (_file "place_route/Top_prr.prt" (_format text) - (_timespec "2023-12-31T15:14:13") + (_timespec "2024-01-06T19:25:12") ) (_file "place_route/clock_utilization.txt" (_format text) - (_timespec "2023-12-31T15:14:12") + (_timespec "2024-01-06T19:25:12") ) (_file "place_route/Top_plc.adf" (_format adif) - (_timespec "2023-12-31T15:14:12") + (_timespec "2024-01-06T19:25:11") ) (_file "place_route/Top_pnr.netlist" (_format text) - (_timespec "2023-12-31T15:14:13") + (_timespec "2024-01-06T19:25:12") ) (_file "place_route/prr.db" (_format text) - (_timespec "2023-12-31T15:14:14") + (_timespec "2024-01-06T19:25:12") ) ) ) @@ -270,8 +278,24 @@ (_attribute _click_to_run (_switch ON)) ) (_command cmd_report_post_pnr_timing - (_gci_state (_integer 0)) + (_gci_state (_integer 2)) (_attribute _auto_exe_lock (_switch OFF)) + (_db_output + (_file "report_timing/Top_rtp.adf" + (_format adif) + (_timespec "2024-01-06T19:25:15") + ) + ) + (_output + (_file "report_timing/Top.rtr" + (_format text) + (_timespec "2024-01-06T19:25:15") + ) + (_file "report_timing/rtr.db" + (_format text) + (_timespec "2024-01-06T19:25:15") + ) + ) ) (_widget wgt_arch_browser (_attribute _click_to_run (_switch ON)) @@ -289,7 +313,25 @@ ) (_task tsk_gen_bitstream (_command cmd_gen_bitstream - (_gci_state (_integer 0)) + (_gci_state (_integer 2)) + (_output + (_file "generate_bitstream/Top.sbit" + (_format text) + (_timespec "2024-01-06T19:25:19") + ) + (_file "generate_bitstream/Top.smsk" + (_format text) + (_timespec "2024-01-06T19:25:19") + ) + (_file "generate_bitstream/Top.bgr" + (_format text) + (_timespec "2024-01-06T19:25:19") + ) + (_file "generate_bitstream/bgr.db" + (_format text) + (_timespec "2024-01-06T19:25:19") + ) + ) ) ) ) diff --git a/source/src/des_ttl_generator.v b/source/src/des_ttl_generator.v index 01d5dcc..456fd22 100644 --- a/source/src/des_ttl_generator.v +++ b/source/src/des_ttl_generator.v @@ -1,145 +1,105 @@ -// `include "zutils/zutils_edge_detecter.v" -// `include "zutils/zutils_pluse_generator.v" -// `include "zutils/zutils_register.v" +// +// @功能: +// 1. 功能:同步输出,脉冲输出 +// 2. 输出脉冲 +// 3. 输出脉冲时长可调 +// 4. 输出极性可调 +// module des_ttl_generator #( parameter REG_START_ADD = 0 ) ( input clk, //clock input input rst_n, //asynchronous reset input, low active - //regbus interface - output [31:0] addr, - input [31:0] wr_data, - input wr_en, + //寄存器读写接口 + output [31:0] addr, + input [31:0] wr_data, + input wr_en, + inout wire [31:0] rd_data, - inout wire [31:0] rd_data, //received serial data - // 输入 - input signal_in, - //输出 - output reg ttloutput //ttl原始数据 + input signal_in, //输入信号 + output ttloutput //ttl输出信号 ); - // - // @功能: - // 1. 功能:同步输出,脉冲输出 - // 2. 输出脉冲 - // 3. 输出脉冲时长可调 - // 4. 输出极性可调 - // - // - // @寄存器列表: - // 地址 读写 默认 描述 - // 0x00 wr 0x0 模式 0:同步输出 1:脉冲输出 - // 0x01 wr 0x0 脉冲模式-脉冲触发方式 0:上升沿 1:下降沿触发 - // 0x02 wr 0x0 脉冲模式-有效电平长度: 0~0xffffffff - // 0x03 wr 0x0 输出极性 0:正极性 1:极性翻转 - // - - parameter ADD_NUM = 5; //寄存器数量 - - parameter REG_FUNC_ADD = REG_START_ADD + 0; //功能寄存器地址 - parameter REG_PULSE_MODE_ADD = REG_START_ADD + 1; //脉冲模式寄存器地址 - parameter REG_PULSE_MODE_RISE_FALL_ADD = REG_START_ADD + 2; //脉冲模式-脉冲触发方式寄存器地址 - parameter REG_PULSE_MODE_VALID_LEN_ADD = REG_START_ADD + 3; //脉冲模式-有效电平长度寄存器地址 - parameter REG_OUTPUT_POLARITY_ADD = REG_START_ADD + 4; //输出极性寄存器地址 - - reg ttl_origin_output; //ttl原始信号输出 - wire ttl_after_process_output; //ttl处理后信号输出 - - assign signal_in_a = signal_in; //信号输入 - reg signal_in_b = 0; //信号输入延迟一周期 - /******************************************************************************* - * 寄存器读写 * + * 寄存器列表 * *******************************************************************************/ - // parameter REG_END_ADD = REG_START_ADD + ADD_NUM - 1; //寄存器结束地址 - // reg [31:0] register[REG_START_ADD:REG_END_ADD]; - // integer i; - // always @(posedge clk or negedge rst_n) begin - // if (!rst_n) begin - // for (i = 0; i < ADD_NUM; i = i + 1) begin - // register[i] <= 0; - // end - // end else begin - // if (wr_en && addr >= REG_START_ADD && addr <= REG_END_ADD) register[addr] <= wr_data; - // end - // end - // assign rd_data = (addr >= REG_START_ADD && addr <= REG_END_ADD) ? register[addr] : 31'bz; - + // + // 模式寄存器 + // [0] 0:同步输出 1:脉冲输出 + wire [31:0] reg_function; + // + // 配置寄存器 + // [0] 脉冲输入时候触发信号 0:上升沿 1:下降沿触发 + // [1] 输出极性控制位 0:输出高电平 1:输出低电平 + // + wire [31:0] reg_config; + assign pluse_input_trigger_signal = reg_config[0]; + assign output_polarity = !reg_config[1]; - zutils_register #( - .REG_START_ADD(REG_START_ADD), - .ADD_NUM(5) + // + // 脉冲模式-有效电平长度: + // 0~0xffffffff + // + wire [31:0] reg_pulse_mode_valid_len; // 脉冲模式-有效电平长度: 0~0xffffffff + + //脉冲输出 + wire pluse_output; + // 输入信号上升沿事件 + wire in_signal_rising_edge; + // 输入信号下降沿事件 + wire in_signal_falling_edge; + // 输入信号上升沿或下降沿事件 + wire in_signal_edge; + // 输出的脉冲触发信号的触发信号 + wire signal_src_trigger; + + assign signal_src_trigger = (pluse_input_trigger_signal==0) ? (in_signal_rising_edge) : (in_signal_falling_edge); + + zutils_register16 #( + .REG_START_ADD(REG_START_ADD) ) _register ( .clk(clk), .rst_n(rst_n), .addr(addr), .wr_data(wr_data), .wr_en(wr_en), - .rd_data(rd_data) + .rd_data(rd_data), + .reg0(reg_function), + .reg1(reg_config), + .reg2(reg_pulse_mode_valid_len) ); - // zutils_edge_detecter _signal_in ( - // .clk(clk), - // .rst_n(rst_n), - // .signal_in(signal_in) - // ); + zutils_edge_detecter _signal_in ( + .clk(clk), + .rst_n(rst_n), + .in_signal(signal_in), + .in_signal_rising_edge(in_signal_rising_edge), + .in_signal_falling_edge(in_signal_falling_edge), + .in_signal_edge(in_signal_edge) + ); + zutils_pluse_generator _pluse_generator ( + .clk(clk), + .rst_n(rst_n), + .pluse_width(reg_pulse_mode_valid_len), + .trigger(signal_src_trigger), + .output_signal(ttl_after_process_output) + ); - /******************************************************************************* - * signal_a and signal_b * - *******************************************************************************/ - // signal_in 脉冲信号捕获 - always @(posedge clk or negedge rst_n) begin - if (!rst_n) begin - signal_in_b <= 0; - end else begin - signal_in_b <= signal_in_a; - end - end - /******************************************************************************* - * 脉冲模式输出 * - *******************************************************************************/ - // 电平计数 - reg [31:0] signal_output_duration_cnt; - assign signal_src_trigger = (_register.data[REG_PULSE_MODE_RISE_FALL_ADD] == 0) ? (signal_in_a & ~signal_in_b) : (~signal_in_a & signal_in_b); - // 通过计数输出波形 - assign ttl_after_process_output = (signal_output_duration_cnt < _register.data[REG_PULSE_MODE_VALID_LEN_ADD]) ? 1 : 0; - // 脉冲计数 - always @(posedge clk or negedge rst_n) begin - if (!rst_n) begin - signal_output_duration_cnt <= 0; - end else begin - // 脉冲模式 - if (_register.data[REG_FUNC_ADD] == 1) begin - if (signal_src_trigger == 1) begin - signal_output_duration_cnt <= 0; - end else begin - signal_output_duration_cnt <= signal_output_duration_cnt + 1; - end - end // 非脉冲模式 - else begin - signal_output_duration_cnt <= 0; - end - end - end - /******************************************************************************* - * 信号输出控制 * - *******************************************************************************/ - reg ttloutput; - always @(*) begin - case (_register.data[REG_FUNC_ADD]) - 0: begin - ttloutput = (_register.data[REG_OUTPUT_POLARITY_ADD][0] == 0) ? ttl_origin_output : !ttl_origin_output; - end - 1: begin - ttloutput = (_register.data[REG_OUTPUT_POLARITY_ADD][0] == 0) ? ttl_after_process_output : !ttl_after_process_output; - end - default: ttloutput = 0; - endcase - end + assign output_signal0 = (output_polarity == 1) ? signal_in : !signal_in; + assign output_signal1 = (output_polarity == 1) ? ttl_after_process_output : !ttl_after_process_output; + + zutils_multiplexer_4t1 multiplexer_4t1 ( + .chooseindex(reg_function), + .signal0(output_signal0), + .signal1(output_signal1), + .signal2(0), + .signal3(0), + .signalout(ttloutput) + ); endmodule diff --git a/source/src/top.v b/source/src/top.v index bc52724..363006c 100644 --- a/source/src/top.v +++ b/source/src/top.v @@ -2,28 +2,40 @@ module Top ( input sys_clk, input rst_n, - output reg [3:0] led, - output reg [3:0] key, - output wire usb_serial_tx, - input wire usb_serial_rx, - output wire [35:3] test_io -); - wire inclkpll_clk0out; - inclkpll inclkpll_inst ( - .clkin1 (sys_clk), - .clkout0(inclkpll_clk0out) - ); - -des_ttl_generator des_ttl_generator_inst ( - .clk(sys_clk), - .rst_n(rst_n), - .addr(), - .wr_data(0), - .wr_en(0), - .rd_data(), - .signal_in(1), - .ttloutput(test_io[3]) + + output wire core_board_debug_led ); + zutils_debug_led #( + .PERIOD_COUNT(10000000) + ) core_board_debug_led_inst ( + .clk(sys_clk), + .rst_n(rst_n), + .debug_led(core_board_debug_led) + ); + + +// +// +// +// + +// wire inclkpll_clk0out; +// inclkpll inclkpll_inst ( +// .clkin1 (sys_clk), +// .clkout0(inclkpll_clk0out) +// ); + +// des_ttl_generator des_ttl_generator_inst ( +// .clk(sys_clk), +// .rst_n(rst_n), +// .addr(), +// .wr_data(0), +// .wr_en(0), +// .rd_data(), +// .signal_in(1), +// .ttloutput(test_io[3]) +// ); + endmodule diff --git a/source/src/zutils/zutils_debug_led.v b/source/src/zutils/zutils_debug_led.v new file mode 100644 index 0000000..0d7eecd --- /dev/null +++ b/source/src/zutils/zutils_debug_led.v @@ -0,0 +1,25 @@ +module zutils_debug_led #( + parameter PERIOD_COUNT = 1000000 +) ( + input clk, //clock input + input rst_n, //asynchronous reset input, low active + output reg debug_led +); + + reg [31:0] counter; + + always @(posedge clk or negedge rst_n) begin + if (!rst_n) begin + counter <= 0; + debug_led <= 1'b0; + end else begin + if (counter == PERIOD_COUNT - 1) begin + counter <= 0; + debug_led <= ~debug_led; + end else begin + counter <= counter + 1; + end + end + end + +endmodule diff --git a/source/src/zutils/zutils_edge_detecter.v b/source/src/zutils/zutils_edge_detecter.v index eb63e72..fb26fa9 100644 --- a/source/src/zutils/zutils_edge_detecter.v +++ b/source/src/zutils/zutils_edge_detecter.v @@ -1,44 +1,44 @@ module zutils_edge_detecter ( input clk, //clock input input rst_n, //asynchronous reset input, low active - input wire signal_in - + input wire in_signal, + output reg in_signal_last, + output reg in_signal_rising_edge, + output reg in_signal_falling_edge, + output reg in_signal_edge ); - reg signal_in_last = 0; - assign now = signal_in; - assign last = signal_in_last; - reg rsing_edge_signal; - reg falling_edge_signal; - reg edge_sginal; + // reg in_signal_rising_edge; + // reg in_signal_falling_edge; + // reg in_signal_edge; always @(posedge clk or negedge rst_n) begin if (!rst_n) begin - signal_in_last <= 0; + in_signal_last <= 0; end else begin - signal_in_last <= signal_in; + in_signal_last <= in_signal; end end always @(posedge clk or negedge rst_n) begin if (!rst_n) begin - rsing_edge_signal <= 0; - falling_edge_signal <= 0; - edge_sginal <= 0; + in_signal_rising_edge <= 0; + in_signal_falling_edge <= 0; + in_signal_edge <= 0; end else begin - if (signal_in_last == 0 && signal_in == 1) begin - rsing_edge_signal <= 1; - falling_edge_signal <= 0; - edge_sginal <= 1; - end else if (signal_in_last == 1 && signal_in == 0) begin - rsing_edge_signal <= 0; - falling_edge_signal <= 1; - edge_sginal <= 1; + if (in_signal_last == 0 && in_signal == 1) begin + in_signal_rising_edge <= 1; + in_signal_falling_edge <= 0; + in_signal_edge <= 1; + end else if (in_signal_last == 1 && in_signal == 0) begin + in_signal_rising_edge <= 0; + in_signal_falling_edge <= 1; + in_signal_edge <= 1; end else begin - rsing_edge_signal <= 0; - falling_edge_signal <= 0; - edge_sginal <= 0; + in_signal_rising_edge <= 0; + in_signal_falling_edge <= 0; + in_signal_edge <= 0; end end end diff --git a/source/src/zutils/zutils_multiplexer_4t1.v b/source/src/zutils/zutils_multiplexer_4t1.v new file mode 100644 index 0000000..2b784bb --- /dev/null +++ b/source/src/zutils/zutils_multiplexer_4t1.v @@ -0,0 +1,29 @@ +module zutils_multiplexer_4t1 ( + input [31:0] chooseindex, + input wire signal0, + input wire signal1, + input wire signal2, + input wire signal3, + output reg signalout +); + + always @(*) begin + case (chooseindex) + 0: begin + signalout = signal0; + end + 1: begin + signalout = signal1; + end + 2: begin + signalout = signal2; + end + 3: begin + signalout = signal3; + end + default: begin + signalout = 0; + end + endcase + end +endmodule diff --git a/source/src/zutils/zutils_pluse_generator.v b/source/src/zutils/zutils_pluse_generator.v index c7a37d3..6d15866 100644 --- a/source/src/zutils/zutils_pluse_generator.v +++ b/source/src/zutils/zutils_pluse_generator.v @@ -4,7 +4,9 @@ module zutils_pluse_generator ( input wire [31:0] pluse_width, input wire trigger, - output reg pluse + output reg output_signal + + ); reg [31:0] counter = 0; @@ -12,14 +14,14 @@ module zutils_pluse_generator ( always @(posedge clk or negedge rst_n) begin if (!rst_n) begin counter <= 0; - pluse <= 0; + output_signal <= 0; end else begin if (trigger) begin counter <= pluse_width; - pluse <= 1; + output_signal <= 1; end else begin if (counter == 0) begin - pluse <= 0; + output_signal <= 0; end else begin counter <= counter - 1; end diff --git a/source/src/zutils/zutils_register.v b/source/src/zutils/zutils_register.v index 2406014..c73a467 100644 --- a/source/src/zutils/zutils_register.v +++ b/source/src/zutils/zutils_register.v @@ -1,6 +1,5 @@ -module zutils_register #( - parameter REG_START_ADD = 0, - parameter ADD_NUM = 10 +module zutils_register16 #( + parameter REG_START_ADD = 0 ) ( input clk, //clock input input rst_n, //asynchronous reset input, low active @@ -10,11 +9,48 @@ module zutils_register #( input [31:0] wr_data, input wr_en, - inout wire [31:0] rd_data //received serial data + inout wire [31:0] rd_data, //received serial data + + output [31:0] reg0, + output [31:0] reg1, + output [31:0] reg2, + output [31:0] reg3, + output [31:0] reg4, + output [31:0] reg5, + output [31:0] reg6, + output [31:0] reg7, + output [31:0] reg8, + output [31:0] reg9, + output [31:0] regA, + output [31:0] regB, + output [31:0] regC, + output [31:0] regD, + output [31:0] regE, + output [31:0] regF ); + localparam ADD_NUM = 16; //寄存器数量 parameter REG_END_ADD = REG_START_ADD + ADD_NUM - 1; //寄存器结束地址 reg [31:0] data[REG_START_ADD:REG_END_ADD]; + + + assign reg0 = data[0]; + assign reg1 = data[1]; + assign reg2 = data[2]; + assign reg3 = data[3]; + assign reg4 = data[4]; + assign reg5 = data[5]; + assign reg6 = data[6]; + assign reg7 = data[7]; + assign reg8 = data[8]; + assign reg9 = data[9]; + assign regA = data[10]; + assign regB = data[11]; + assign regC = data[12]; + assign regD = data[13]; + assign regE = data[14]; + assign regF = data[15]; + integer i; always @(posedge clk or negedge rst_n) begin if (!rst_n) begin diff --git a/source/test/test_top.v b/source/test/test_top.v index 28845a0..69cd527 100644 --- a/source/test/test_top.v +++ b/source/test/test_top.v @@ -1,41 +1,18 @@ -`timescale 1ns / 1ns +`timescale 10ns / 10ns module test_top; - // Inputs - reg clk_50m; - reg rst_n; + reg sys_clk; + reg rst_n; - wire rxclk_en; - wire txclk_en; - - wire [3:0] led; - wire test_io3; - wire test_io4; - wire test_io5; - wire test_io6; - wire test_io7; - wire test_io8; - wire test_io9; - wire test_io10; - wire test_io11; + wire core_board_debug_led; Top top_impl ( - .sys_clk(clk_50m), + .sys_clk(sys_clk), .rst_n(rst_n), - .led(led), - .test_io3(test_io3), - .test_io4(test_io4), - .test_io5(test_io5), - .test_io6(test_io6), - .test_io7(test_io7), - .test_io8(test_io8), - .test_io9(test_io9), - .test_io10(test_io10), - .test_io11(test_io11) + .core_board_debug_led(core_board_debug_led) ); initial begin - // Initialize Inputs - clk_50m = 0; + sys_clk = 0; rst_n = 0; #100; @@ -43,8 +20,8 @@ module test_top; #15; - #300000; - $stop; + // #5000000; + // $stop; end - always #10 clk_50m = ~clk_50m; //20ns 50MHZ + always #1 sys_clk = ~sys_clk; // 50MHZ时钟 endmodule